AVS1997 Session MS+VT-ThA: In Situ Sensors and Metrology

Thursday, October 23, 1997 2:00 PM in Room F

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
2:00 PM MS+VT-ThA-1 The Business Case for In Situ Sensors and Metrology
W.L. George (Motorola)
Requirements for manufacturing cost reductions and increases in manufacturing efficiencies are the business goals that are driving the semiconductor industry to 12 inch wafers. To achieve those goals, new methods for monitoring processes for early fault detection are needed. New control methods are needed to reduce the number of test wafers and tool time now consumed by tool requalifications to achieve product specifications. In situ sensors and in situ or in-line metrology enable these new monitoring and control techniques.
2:40 PM MS+VT-ThA-3 Developments in Equipment Support Technology
J.J. Sullivan, R. Gwizdak (MKS Instruments, Inc.); Y. Gu (HPS Division of MKS Instruments, Inc.); W. Culwell (Insync Systems, Inc.); J.M. Baker (IBM T.J. Watson Research Center); J. Hosch (Texas Instruments)
This paper will review the achievements, on-going development activities and needs in "equipment support technology", the critical or key components which surround the process chamber and without which an effective process cannot be reliably and repeatably executed. The challenges of the SIA (Semiconductor Industry Association) Roadmap or NTRS (National Technology Roadmap for Semiconductors) have been the driver for the dramatic developments in many areas, such as the following: delivery of process material vapors into the reactor; on-process measurement and control sensors and actuators; implementation of a well supported digital sensor bus introduced on 300mm tool sets; diagnostics of process parameters through residual gas analysis, optical emission spectroscopy, and rf diagnostics for plasma tools; the incorporation of fault detection schemes and the eventual implementation of multi-variate control techniques; and finally control of the exhaust effluent from the reactor. The co-authors of this paper have been chosen for their respective expertise in the above-mentioned technology areas. Since the complete process tool incorporates many disciplines, their contributions are necessary. With the escalating cost of wafers-in-process, and the desire to reduce cost-of-ownership, it becomes increasingly important to separate, control, diagnose and predict the behavior of critical process variables in real time. In-situ measurement, control, and metrology result in dramatically improved MTBF, shorter MTTR, and a significantly reduced cost per measurement function.
3:20 PM MS+VT-ThA-5 Real-Time Process Sensing and Metrology of Amorphous Silicon and Silicon Nitride PECVD Processes Using In-Situ Mass Spectrometry
A.I. Chowdhury, T.M. Klein, T.M. Anderson, G.N. Parsons (North Carolina State University)
Real-time process sensors for in-situ metrology, reaction monitoring and equipment analysis are required to improve product yields, throughput, and reproducibility in semiconductor manufacturing. Plasma enhanced processes in general involve complex reactions that are difficult to observe, analyze, and quantify. We have used in-situ mass spectroscopy as a metrology tool during plasma deposition of silicon and silicon nitride to analyze the gas phase chemical processes such as reactant depletion and product formation. A double-differentially pumped quadrupole mass spectrometer has been used to monitor the effluent from a He/SiH4 PECVD for silicon. The dominant reactant (SiH2+ and SiH3+) and product species (H2+) were monitored. We found that the integrated differential area under the reactant signal is directly linked to the measured film thicknesses and deposition rates, demonstrating real-time plasma process metrology. Plasma deposition of silicon nitride using He/SiH4/N2 as the source gas has also been monitored in real time. No significant changes in gas phase nitrogen related species were observed. However, the Si species show significant changes allowing real-time metrology. In addition to the metrology results we will present a quantitative discussion relating process parameters to gas phase behavior and film growth. We will also discuss other potential applications of this technique.
3:40 PM MS+VT-ThA-6 Matching Network Efficiency Measurements for Wafer Biasing Applications
J.B.O. Caughman, G.L. Bell, D.J. Hoffman (Oak Ridge National Laboratory); V. Resta (SEMATECH)
The amount of power that reaches the wafer is a critical processing parameter in microelectronics manufacturing owing to its role in controlling the etching or deposition rates at the surface. A matching network is typically used between the rf generator and the wafer substrate for impedance transformation purposes. However, some power is lost in the network, and the amount of lost power depends on the impedance of the wafer/plasma load. The efficiency of both "L" type and "T" type matching networks used for wafer biasing has been measured and modeled as a function of the load impedance and the position of the tuning elements of the network. Power was measured with rf sensors placed at the input and the output of the network. In addition, a calorimeter was used for sensor calibration and for post-match power measurement verification. The load impedance used consisted of a water cooled resistor combined with a linear network of variable capacitors that can simulate the non-linear behavior of a plasma load by the addition of a diode circuit. It was found that efficiencies of a matching network can range from 50 to 90%, depending on the load conditions. The losses are dominated by the inductor, but the position of stray capacitance can affect the efficiency. This characterization allows the net delivered power to be determined from the position of tuning elements of the network and is a technique that is suitable for feedback control when combined with post-match current/voltage measurements. ORNL is managed by Lockheed Martin Energy Research Corp. for the U.S. Department of Energy under contract no. DE-AC05-96OR22464.
4:00 PM MS+VT-ThA-7 A Diode Laser-Based Sensor for In Situ Measurement of Moisture Contamination in Semiconductor Process Tools
J.J.F. McAndrew, R.S. Inman (Air Liquide); A.M. Haider, J.L. Brookshire, R. Kuan (Texas Instruments)
The need for user-friendly, real-time measurement of molecular contamination has been repeatedly mentioned as a challenge to ultra-clean processing of semiconductors. In response, a sensor has been developed to enable in situ moisture measurement in semiconductor process tools. Tunable diode laser absorption spectroscopy was utilized in view of its compatibility with reactive matrices over a wide range of pressure and temperature, its sensitivity (to ppb level), and its adaptability to use in an "absolute" mode which minimizes calibration requirements and enables the sensor to operate unattended for many months. The InGaAsP diode laser is manufactured to emit light at wavelengths in the region of 1.368 microns, where there are several relatively strong absorption features whose intensity is directly related to the water vapor concentration. Extension to other molecular contaminants of interest requires lasers in the appropriate wavelength region. The laser light is detected using an InGaAs photodiode. The laser is maintained at a constant temperature (close to room temperature) and the current through it is varied, resulting in a fine variation of output wavelength and of the light intensity. A repetitive current ramp repeatedly sweeps the laser light across a wavelength range which includes the absorption feature of interest. Second harmonic detection using a second, higher frequency modulation is used to eliminate low-frequency noise components. The laser intensity is continuously monitored and taken into account in the water vapor concentration calculation. Application of the system to real time measurements of water vapor in exhaust gas (just downstream of the process chamber) will be presented.
4:20 PM MS+VT-ThA-8 Particle Measurement in Vacuum Tools by In Situ Particle Monitor
H. Miyashita, T. Kikuchi, Y. Kawasaki, Y. Katakura, N. Ohsako (ANELVA Corporation, Japan)
In situ particle monitor was employed to measure particles in various vacuum tools such as a plasma chemical vapor deposition (CVD) tool, an electron beam evaporation tool, a poly-silicon etching tool and a load-lock chamber of a sputtering tool. As a result of these experiments, it was found that in situ particle monitor is effective in 1)detecting sporadic flaking from the walls and the electrodes of a process chamber, 2)determining the source of particle contamination, 3)optimizing the chamber cleaning cycle, 4) confirming the particle level after chamber cleaning. These results suggest that in situ particle monitor has advantages, compared with particle per wafer pass(PWP) measurements or the standard method for wafer level particle monitoring. In other words, in situ particle monitor enables easy particle measuring which is impossible by PWP measurements. It was also shown that the particle counts obtained by in situ particle monitor considerably depend on the location where the sensor was installed. This implies that the behavior of particles is closely associated with the pressure of environment, particle size, density and so on. It is, therefore, suggested that the location where the sensor is installed should be considered so as to measure actual chamber condition accurately with in situ particle monitor.
4:40 PM MS+VT-ThA-9 Diode-Laser-Based Atomic Absorption Monitors for Atomic Flux Measurement in Electron Beam Evaporation
W. Wang, R.H. Hammond, M.M. Fejer, M.R. Beasley (Stanford University); M.L. Bortz (Focused Research, Inc. (Currently at SDL, Inc.)); T. Day (Focused Research, Inc.)
Deposition rate monitors based on atomic absorption (AA) spectroscopy using tunable diode lasers have been developed for deposition process control and study of the deposition physics. Direct measurement of atomic flux in e-beam evaporated yttrium (668 nm) and barium (791 nm), which are compositions in YBCO superconducting thin films, have been demonstrated. Atomic number density and velocity were measured through absorption and Doppler shift measurements to provide the atomic flux. A scheme with two laser beams counterpropagating at an angle to the substrate surface, was used to directly measure the normal component of the velocity. The AA-based deposition rates were compared with independent quartz crystal monitors showing agreement between the two methods. The measured velocities of the e-beam evaporated atoms at different deposition rates deviate from the estimation of the thermal equilibrium atoms, which can be explained by the free expansion model. Pressure effects due to change of the background gases pressure during the deposition were investigated by introducing an argon gas. In barium which has no low-lying metastable levels, the measurements indicate a pressure-independent result. In yttrium, which has a significantly populated metastable level, the measurements show a pressure-dependent result. To tackle this problem, simultaneous measurements of both the ground state and the metastable levels in yttrium with two lasers is under investigation. In addition to the atomic flux measurement, investigation on sticking coefficient and re-evaporation of the evaporated barium on a cooper substrate was also performed. This work is supported by ARPA through Conductus, Inc.
5:00 PM MS+VT-ThA-10 A High Resolution Quartz Microbalance for Studying Sub-Monolayer Deposits and Determining Surface Oxide Stoichiometry.
S.S. Narine, A.J. Slavin (Trent University, Canada)
This paper describes a high resolution quartz microbalance with an uncertainty in frequency of 0.2 Hz at 6 MHz over several hours, provided the temperature of the microbalance is measured to 0.1 C. This enables the microbalance to measure a change in mass equivalent to less than 0.1 monolayers of oxygen, which opens up a wide range of possible experiments. These include the absolute measurement of the stoichiometry of ultrathin oxide films, and changes in sticking probability between successive layers. An absolute mass sensitivity for the microbalance is obtained using an inductively-coupled-plasma mass spectrometer (ICPMS), which can easily measure, ex-situ, monolayer coverages to within a few percent. An absolute measure of the stoichiometry of ultrathin metal oxides is difficult to obtain by other means. In our technique, one measures the frequency shift after the deposition of one- or two-monolayers of the metal film onto the gold electrode of the as-purchased quartz crystal, in ultrahigh vacuum. The deposited film is then oxidized to completion in a low pressure of oxygen gas, and the frequency shift measured again, at the same temperature as before. The ratio of the frequency shifts and the atomic masses gives the oxide stoichiometry. Furthermore, an EELS spectrum taken of this surface provides an identifying "finger-print" for other researhers on this material. The gold acts as an inert support, since it does not oxidize under the conditions of the experiment. Research supported by NSERC Canada.
Time Period ThA Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS1997 Schedule