AVS1996 Session PS1-TuM: Etch I

Tuesday, October 15, 1996 8:20 AM in Room 201C

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1996 Schedule

Start Invited? Item
8:20 AM PS1-TuM-1 Cl\sub 2\ Plasma-Si(100) Surface Interactions Studied by Angle- Resolved X-ray Photoelectron Spectroscopy and UV-visible Ellipsometry
N. Layadi, V. Donnelly, J. Lee, F. Klemens (Bell Laboratories)
The interaction of a high density chlorine plasma with a Si(100) surface has been investigated by angle-resolved x-ray photoelectron spectroscopy (XPS) and UV-visible ellipsometry. It was found that the amount of chlorine incorporated at the Si surface increases with ion energy, and doesn't change with long exposure to the plasma. Chlorine is present solely as SiCl\sub x\ (x = 1-3) with average relative coverages of [SiCl] : [SiCl\sub 2\] : [SiCl\sub 3\] = 1:0.5:0.2, at 100.2, 101.2 and 102.3 eV. From the attenuation of the (assumed) underlying Si(2p) XPS peak at 99.4 eV, a lower limit of ~0.48-1.02 nm was determined for the thickness of the SiCl\sub x\ layer, depending on conditions, and assuming an inelastic mean free path for electrons equal to that of Si (3.5 nm). Moreover, there is a substantial amount of amorphous-like Si in the layer at high ion energy, reflected in a broadening of the 99.4 eV peak and the appearance of a shoulder at 98.8 eV, ascribed to Si with a dangling bond. Ellipsometry shows a saturation in the damaged surface layer thickness and composition in the first few seconds of exposure to the chlorine plasma. The thickness of the damaged layer is ~1.0-2.5 nm, depending on ion energy. Moreover, real-time ellipsometric traces indicate that the surface layer present after etching critically depends on order and delay between the extinction of plasma source and the chuck power. When all power is turned off simultaneously, the surface that was present during etching does not change with time, in the absence of air exposure, indicating that the XPS measurements reflect the surface that is present during etching.
8:40 AM PS1-TuM-2 Physical and Chemical Sputtering in Ion-assisted Etching in the Si/XeF\sub 2\/Ar\super +\-system
P. Sebel, R. Cox (Eindhoven University of Technology, The Netherlands); M. Vugts (Philips Semiconductors Nijmegen, The Netherlands); L. Hermans, H. Beijerinck (Eindhoven University of Technology, The Netherlands)
Ion-assisted etching enhances the silicon etch rate. From several studies it is concluded that there are basically two mechanisms which contribute to the enhancement: physical sputtering, the direct release of surface species upon ion impact, and chemical sputtering, the production of weakly bound species by ion bombardment followed by desorption. The goal of this study was to reveal the relative importance of physical and chemical sputtering process in the Si/XeF\sub 2\/Ar\super +\-system. This was done using the pseudo random correlation technique. The desorbing etch products were measured while the Si(100)-sample was bombarded with a pseudo random series of ion pulses. By calculating the cross-correlation of the desorption signal with the pseudo random series, this method allows the measurement of the pulse response under conditions of semi- continuous ion bombardment. Measurements were done on a time scale 1 ms - 100 ms.The SiF\sub 2\ desorption signal shows a fast pulse response, which corresponds to thermal and superthermal energies, suggesting a physical sputtering proces. However, the SiF\sub 4\ desorption signal has a large contribution with a slow pulse response of approximately 8 ms, which suggests a chemical sputtering proces. For temperatures of 250K and below there is also a significant contribution of the XeF\sub 2\ desorption signal. This indicates a precursor mediated reaction. The results will be compared with a simple kinetic model which accounts for the several processes of chemical and physical sputtering and the formation of a precursor state on the surface.
9:00 AM PS1-TuM-3 Measurements of Cl Atom - Surface Recombination Coefficients
G. Kota, J. Coburn, D. Graves (University of California, Berkeley)
Measurements of radical-surface reaction rate coefficients are rare, in spite of the fact that such data are essential for quantitative models of plasma processes. We report measurements of Cl atom recombination (and related reaction) coefficients on a variety of surfaces : poly-Si, WSi, W, stainless steel, crystalline Si, SiO\sub 2\ and surface treated SiO\sub 2\. In this experiment, Cl atoms from an inductively coupled plasma source effuse into a high vacuum chamber, and impact a selected surface. At the surface, the incident atomic Cl either recombine into Cl\sub 2\, react with other surface species and desorb, or simply reflect unreacted as atoms. The desorbing species are detected in a modulated beam mass spectrometer with four stages of differential pumping. An SiO\sub 2\ surface treatment scheme proposed by E.A. Ogryzlo (Can. J. Chem., 39, 1961, 2556) has been used to create one surface with a very low recombination coefficient (~ 4 x 10\super -5\), and results from this surface are used as an internal measure of the Cl atom fraction coming from the plasma source. For the results reported here, the Cl atom fraction from the source (the incident beam) is about 0.7. For room temperature surfaces, the estimated Cl atom recombination coefficients on non-ion bombarded surfaces are less than 0.05 (SiO/sub 2/ and crystalline Si), 0.3 - 0.6 (poly-Si and WSi) and 0.7 - 0.8 (W and stainless steel). We discuss the implications of these measurements in plasma models and models of aspect ratio dependent etching. Complications in interpreting the measurements, and extensions to other systems and chemistries are also discussed.
9:20 AM PS1-TuM-4 Remote Plasma Chemical Dry Etching of Polycrystalline Silicon using NF\sub 3\/O\sub 2\ Gas Mixtures
P. Matsuo, B. Kastenmeier, G. Oehrlein (State University of New York, Albany); J. Langan (Air Products & Chemicals, Inc.)
The etching of silicon in remote microwave discharges fed with NF\sub 3\/O\sub 2\ has been investigated. In-situ ellipsometry and x-ray photoelectron spectroscopy were used to monitor surface effects, while mass spectrometry was used to monitor the gas phase dynamics. Varying the microwave power from 600 W to 1400 W has little effect, due to the complete dissociation of the NF\sub 3\, even at lower powers. For discharges containing pure NF\sub 3\, the poly-Si etch rate increases linearly with NF\sub 3\ flow. When a low proportion of O\sub 2\ (O\sub 2\ / NF\sub 3\=0.1) is added to the discharge, the etch rate increases quickly to its maximum of ~700 nm/min. With increased O\sub 2\ addition, this etch rate decreases below that observed for pure NF\sub 3\ processing. The fluorine concentration in the processing region decreases for all O\sub 2\ additions. For pure NF\sub 3\ discharges, XPS measurements reveal 1-2 nm thick, highly fluorinated reaction layers with a gra! dual loss of fluorine content as the NF\sub 3\ flow is increased. Specimens processed with both NF\sub 3\ and O\sub 2\ show much less surface fluorination which decreases with increasing O\sub 2\ content in the feed gas. At the etch rate maximum, the observed N (1s) signal is also maximized. The reaction layer thickness increases with added O\sub 2\ and continues to more than 10 nm at O\sub 2\:NF\sub 3\ ratios greater than unity. We will discuss the enhanced reactivity of the modified Si surface, at low O\sub 2\:NF\sub 3\ ratios, drawing on results from our previous work on CF\sub 4\/O\sub 2\/N\sub 2\ remote microwave plasma etching of silicon.
9:40 AM PS1-TuM-5 Kinetic Study of Ion-Enhanced Polysilicon Etching using Cl, Cl\sub 2\ and Cl\super +\ Beam Scattering
J. Chang, H. Sawin (Massachusetts Institute of Technology)
Ion-enhanced plasma etching achieves high fidelity pattern transfer in integrated- circuit fabrication processes, however, a thorough understanding of its reaction mechanism is required for better process modeling and prediction of the feature profile evolution. Kinetic studies are difficult to conduct in plasma reactors where the ionic, atomic and molecular fluxes are highly coupled. In this study, a multiple beam scattering apparatus which allows the independent variation of the fluxes that occur in a plasma was utilized to better quantify the effect of each individual species. The beam fluxes used are of the same order of magnitude as that of a typical plasma reactor and can be controlled independently. This study focuses on the regime of low ion energy (20-100eV) to simulate accurately an ECR plasma environment. The ion-enhanced etching yield was characterized as a function of Cl\super +\ ion energy, ion flux, neutral/ion flux ratio and angle of ion impingement. The etching yield increases with the increase of flux ratio and gradually saturates as the depletion of ion-flux limits the etching yield. The ion energy dependence was found to scale linearly with (E\sub ion\ \super 1/2\ - E\sub th\ \super 1/2\), where E\sub th\ is the threshold energy for silicon etching process. In the presence of Cl\super +\ ion bombardment, etching yield with Cl and Cl\sub 2\ is comparable at flux ratios below 10; but 4-5 times higher with Cl than that of Cl\sub 2\ at higher flux ratios. The effect of angular dependence on etching yield showed insignificant variation as ion incident angle changes from normal to 40 degree off-normal. However, it decreases by 30% and 50% at 60 and 70 degree off-normal angles. Possible reaction pathways are proposed and reduced into a two parameter model which is useable in a profile simulator. Patterned polysilicon wafers were etched and investigated by SEM. Our attempt to etch 0.5 \mu\m and 0.35 \mu\m trenches using Cl\super +\ and Cl beams results in highly directional sidewalls.
10:00 AM PS1-TuM-6 On the Origin of the Notching Effect in Uniform High Density Plasmas
G. Hwang, K. Giapis (California Institute of Technology)
We present a Monte Carlo simulation of profile evolution during the overetch step of polysilicon-on-insulator structures, which considers explicitly a) electric field effects during the charging transient, b) etching reactions of energetic ions impinging on the poly-Si, and c) forward inelastic scattering effects. The simulation predicts that local sidewall etching (notching) will occur as a result of ion trajectory bending caused by a combination of positive charging of the trench bottom and negative charging of the sidewall foot. The latter is particularly significant at the outermost poly-Si line of a grating-type structure, which receives more electrons at the side facing the open area. The electrostatics of the problem requires electron redistribution in the poly-Si and accumulation near the poly-Si/SiO\sub 2\ boundary at the inner sidewall surface. The excess negative charge there re-accelerates ions to energies sufficient for reaction. Forward scattering of reactive particles, which occurs at large incident angles, is essential in determining the shape of the notch profile. The model explains why notching occurs near the poly-Si/SiO\sub 2\ interface and predicts precisely the topography evolution during overetching. Furthermore, the model delineates the effects of plasma parameters and the open area between grating structures on the notching depth and shape, in agreement with experimental observations.
10:20 AM PS1-TuM-7 Competitive Mechanisms for Microscopic Uniformity during Electron Cyclotron Resonance Plasma Etching of Poly-Si in Cl\sub 2\ and Cl\sub 2\/O\sub 2\ Mixtures
K. Ono, M. Tuda, K. Nishikawa, T. Oomori, T. Banjo (Mitsubishi Electric Corporation, Japan)
Aspect ratio independent etching is an important issue in the fabrication of microelectronic devices. Recently, a balance between reactant and inhibitor fluxes into microstructures has been proposed as a solution to the problem of aspect ratio dependent etching. This paper presents such competitive mechanisms for microscopic uniformity, observed during electron cyclotron resonance plasma etching of poly-Si in mixtures of Cl\sub 2\ and O\sub 2\ (0-30%). Experiments were performed at a pressure of 1 mTorr with additional rf biasing, using a divergent magnetic-field ECR plasma reactor. At small amounts of added O\sub 2\, large aspect ratio features etched slower than smaller ones (RIE lag), while large aspect features etched faster than smaller ones (inverse RIE lag) at above 20% O\sub 2\. The etch rate of an open field first increased slightly with increasing addition of O\sub 2\, peaked at about 20% O\sub 2\, and then decreased substantially. The etched profiles were totally anisotropic for large aspect features and slightly tapered for smaller ones. These observations were interpreted in terms of competitive fluxes of reactants and inhibitors, both limited by neutral shadowing, using numerical simulation of profile evolution during etching; the model included effects of inhibitor deposition as well as etch product readsorption in ion-assisted reaction processes based on Langmuir adsorption kinetics. Moreover, effects of the etch product readsorption were observed at lower pressures and higher rf biases, giving profiles that were slightly tapered for large aspect features and totally anisotropic for smaller ones.
10:40 AM PS1-TuM-8 The Ion-assisted Etching and Profile Development of Silicon in Chlorine
J. Levinson, E. Shaqfeh (Stanford University); M. Balooch, A. Hamza (Lawrence Livermore National Laboratory)
An ion beam etching study designed to characterize the kinetic and transport processes important in the etching of silicon in a chlorine plasma was performed. This environment was simulated experimentally with a molecular ion beam etching apparatus. Monoenergetic argon ion beams were directed normal to a silicon wafer that was simultaneously exposed to a background of thermal molecular chlorine. The ion-induced etching yield scaled with the square root of the ion energy for a surface saturated with adsorbed chlorine, which was reported previously in JVST A 14(1), 229 (1996). Moreover, the yield was found to depend strongly on the ion to neutral flux ratio which was varied over two orders of magnitude. A kinetic model in which the dissociative sticking probability and the yield scale linearly with surface coverage was developed and was found to be consistent with the yield data in the ion energy range of 90 to 300 eV. Its applicability to etching topography was tested with additional experiments where patterned silicon wafers (with oxide masks and with a range of features and linewidths) were etched in the apparatus. Lag effects and some microtrenching were encountered. The etching selectivity of silicon over oxide at 100 eV was greater than 30. Computer simulations of the etching process and profile development were performed using the kinetic model described above and a line-of-sight re-emission model for the chlorine transport. The agreement between simulation and experiment was good, with the initial sticking probability on a clean surface and the average product stoichiometry as parameters comparing favorably to published results.
11:00 AM PS1-TuM-9 Sub Quarter-micron Polycrystalline Si1-xGex Gate Patterning in a High Density Plasma Helicon Source
O. Joubert, C. Monget, S. Vallon, F. Bell, L. Vallier (France Telecom-CNET)
Polycrystalline silicon-germanium (poly Si1-xGex) is an attractive alternative to polycrystalline silicon (poly Si) for the gate material in submicron CMOS technologies. since the work function of P+ poly Si1-xGex decreases with increasing Ge content. The etching of Si(80 nm)/Si0.5Ge0.5(120 nm) bilayer gate structures masked with SiO2 patterns has been studied in a high density plasma helicon source using HBr/Cl2/O2 chemistries. Lateral etching resulting in unacceptable critical dimension loss is observed with recipes giving anisotropic and selective etching of polysilicon gate structures. The chemical topography of Si0.5Ge0.5 structures was investigated using X-ray Photoelectron Spectroscopy (XPS). Using the geometrical shadowing of photoelectrons and the differential charging of insulating portions of the features, the chemical composition of the tops, sidewalls and bottoms of the etched features was analysed. XPS analyses show that, as for polysilicon, an SiOx film is formed on the sidewalls of poly Si0.5Ge0.5 features from line of sight deposition of etching products whereas no germanium oxide (GeO) or germanium dioxide (GeO2) can be observed. However, under similar process conditions, the SiOx film is thinner on poly Si0.5Ge0.5 sidewalls than on poly Si sidewalls producing lateral etching which is attributed to the etching of the Si0.5Ge0.5 alloy not efficiently protected from the neutral species of the discharge. On the other hand, anisotropic etching profiles are observed in 0.2 mm L/S Si/Si0.5Ge0.5 bilayer gate structures when HBr is excluded from the gas mixture
11:20 AM PS1-TuM-10 Gas-Surface Dynamics and Profile Evolution during Etching of Silicon
G. Hwang, C. Anderson, M. Gordon, T. Moore (California Institute of Technology); T. Minton (Montana State University); K. Giapis (California Institute of Technology)
Understanding the dynamics of gas-surface interactions during dry etching of silicon is a prerequisite to generating models of profile evolution with predictive capabilities. When neutral F atoms with translational energies in the 2-20 eV regime impinge on the SiF\sub x\ layer, known to exist during steady-state etching of Si, unreacted atoms and reaction products scatter via thermal and direct channels. The balance between the thermally-desorbing and inelastically-scattered fluorine atoms from the etched surface determines the shape of the sidewall profile. Direct reactions help overcome desorption limitations of the etch rate with a concomitant improvement in anisotropy. An empirical model, based on experimental trends in the interaction dynamics, is used in a Monte Carlo simulation of topography evolution during neutral beam etching of Si. Etching experiments with energetic fluorine atoms have verified model predictions of profile phenomena and of conditions for the anisotropic etching of Si at room temperature. Aspect ratio independent etching will be also demonstrated.
11:40 AM PS1-TuM-11 Electron Beam Neutralization of Differentially Charged Large Aspect Ratio Features in a Cusped Field Helicon Plasma Etcher
A. Quick, N. Hershkowitz, M. Sarfaty, R. Chen (University of Wisconsin, Madison)
Differential charging in large aspect ratio features can occur in insulating structures due to velocity distribution functions at the surface of the wafer which are anisotropic for ions and isotropic for electrons.\super 1\ . The top portion of the feature tends to charge up negatively while the bottom accumulates a net positive charge. The resulting field deflects the incoming ions to the feature sidewalls and contributes to problems such as RIE(Reactive Ion Etch) lag, sidewall bowing, and poly-Si line notching\super 2\ (local side etch). The effects of etching large aspect ratio contact holes in CHF\sub 3\/H\sub 2\ and poly-Si line and space structures in CF\sub 4\/O\sub 2\ chemistries in the presence of an anisotropic electron beam oriented perpendicular to the wafer surface will be reported. The purpose of the electron beam is to introduce an anisotropic electron velocity distribution at the surface of the wafer which can neutralize the bottom of large aspect ratio features and eliminate differential charging-induced profile defects. The experimental apparatus used for this study is a cusped field helicon plasma etcher in which the electron beam source and substrate are located in the magnetic field-free region of the chamber. This work is supported by NSF Grant No. EEC-8721545. 1. R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci. Technol. B 10(5), Sep/Oct 1992, 2133.2. N. Fujiwara, T. Maruyama, and M. Yoneda, Jpn. J. Appl. Phys. Vol. 34 (1995) Pt. 1, No. 4B, 2095.
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1996 Schedule