AVS1996 Session PS-MoP: High Density Plasmas & Etching Poster Session

Monday, October 14, 1996 5:30 PM in Ballroom A

Monday Afternoon

Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1996 Schedule

PS-MoP-1 Real Time Measurements of Etch Selectivity using Two Colors Laser Interferometry
M. Sarfaty, C. Baum, M. Harper, N. Hershkowitz, C. Woods (University of Wisconsin, Madison)
Single and double point two color laser interferometry is used to determine in-situ the etch rate of SiO\sub 2\ and polysilicon transparent thin films. The research objective is to study the etching selectivity in a Magnetically Confined Inductively Coupled Plasma tool using CF\sub 4\ , CHF\sub 3\, and Cl\sub 2\ high density-low pressure plasmas. The non-invasive and non-destructive technique is very useful for monitoring in real time the plasma processed layer. In single point interferometry the etching selectivity is determined sequentially for each film, while in the double point scheme it is carried simultaneously on both of the films. It is advantageous to use two color lasers as opposed to one in order to gain a more accurate etch rate estimation. The laser beams and the detected interference signals are introduced perpendicular to the wafer surface through the quartz plate on top of the processing tool. This arrangement simplifies the data analysis and can readily be transferred to industrial processing tools. The etch uniformity over the entire wafer is monitored in real time by a full wafer interferometer simultaneously with the two color laser interferometer. The control variables used are the RF power to the wafer stage and to the inductive coil, and the gas mixture, flow rate, and pressure. These computer controlled variables will be used for real-time control of etch rate selectivity. This work is funded by NSF Grant No. EEC-8721545.
PS-MoP-2 In Situ Measurement of RIE Lag during Polysilicon Etching in a Lam TCP using Full Wafer Interferometry
A. Mahorowala, H. Sawin (Massachusetts Institute of Technology)
We have characterized the aspect ratio dependent etching (ARDE) of patterned polysilicon in a Cl\sub 2\/HBr chemistry under various plasma conditions in a Lam transformer coupled plasma (TCP) etcher using Full Wafer Interferometry (FWI). FWI is a technique by which thin film etching rates can be determined over the entire wafer by observing the modulation in the plasma induced emission caused by interference as the film is etched. Etching rate variations of 1% can be observed. Earlier we have demonstrated the use of FWI to determine etching rates in submicron features. The samples consisted of patterned polysilicon over an oxide layer. The photoresist patterns comprised of various lines and spaces down to 0.35 micron. The samples were etched in a Cl\sub 2\/HBr chemistry and etching rates were measured in situ using Br emission at 614.9 nm. Since interference occurs between the light reflected from the feature bottom and the polysilicon-oxide interface, wavelengths of light larger than the spacing can be used for FWI. In this study, we etched identical samples which provided us with etching rate information on aspect ratios ranging from 0.2 to 3. A designed experiment was performed to study the effect of process variables such as top power, bottom power, chamber pressure and bottom electrode temperature on the ARDE of patterned polysilicon. Reactive Ion Etch (RIE) lag, the phenomena in which smaller features etch slower than larger features, of up to 17 % was observed in the high aspect ratio features. The primary processes responsible for ARDE are 1) the reduction of ion bombardment at the bottom of features with increasing aspect ratio and 2) the reduction of passivating species at the bottom of features caused by depletion through deposition on the side walls with increasing aspect ratio. The observation of RIE lag indicates that the former process is dominant in the parameter space studied. Cross sectional SEMs on the etched samples were performed to verify the results.
PS-MoP-3 Characteristics of Chlorine Inductively Coupled Plasma for Silicon Etching
H. Kim, Y. Lee, G. Yeom (Sung Kyun Kwan University, Korea); K. Oho (National Institute of Technology and Quality, Korea); K. Whang (Seoul National University, Korea)
Chlorine-based high density plasmas are widely used to etch various materials. In this study, to apply to silicon single crystal etching, the characteristics of Cl\sub 2\/O\sub 2\ and Cl\sub 2\/N\sub 2\ inductively coupled plasmas are studied using Langmuir probe, quadrupole mass spectrometry (QMS),and optical emission spectroscopy(OES). Silicon etch rates and etch selectivities are also measured and the residue formed on the etched silicon surface is characterized using X-ray photoelectron spectroscopy(XPS) and the results are compared with the measured characteristics of the plasmas. QMS results showed that Cl radicals increased with the increase of ICP power in Cl\sub 2\ plasmas and the addition of O\sub 2\ in Cl\sub 2\ plasmas at a constant ICP power significantly reduced the Cl radicals and it was confirmed by OES. Also, from the mass spectrometry, the increase of mass signal possibly from the Cl\sub 2\O was observed with the increase of O\sub 2\.SiCl\sub 3\ was observed to be the main etch product in Cl\sub 2\ plasmas, but, in Cl\sub 2\ /O\sub 2\ plasmas,the main etch product changed to SiCl\sub x\/O\sub y\. XPS data showed that the increase of O\sub 2\ in Cl\sub 2\ plasma increased oxygen content on the etched silicon surface and the oxygen content decreased with the increased bias voltage. From the measured plasma characteristics, XPS data, and the measured etch characteristics, correlations between silicon etch rates and their plasma properties are obtained for Cl\sub 2\/O\sub 2\. Similar characterizations are also made for Cl\sub 2\/N\sub 2\ plasmas and compared with Cl\sub 2\/O\sub 2\ plasmas.
PS-MoP-4 Study of Shallow Silicon Trench Etch Process using Planar Inductively Coupled Plasmas
J. Lee, Y. Lee, G. Yeom (Sung Kyun Kwan University, Korea); J. Lee, J. Lee (Korea Advanced Institute of Science & Technology)
For the deep submicron device isolation of semiconductor integrated circuit, shallow trench isolation process may have to be used instead of conventional LOCOS (LOcal Oxidation of Silicon) process. In this study, the effects of process parameters such as gas combination, pressure, rf power, and bias voltage on etch rates, selectivies of silicon over silicon nitride, and etch profile of silicon trenches from 0.25 to 0.8 micrometer width were investigated using an ICP (Inductively Coupled Plasma) etching equipment. Also, electrical and physical defects and contaminations formed on silicon trench sidewall during the etch process were also studied. As the gas combination, in addition to the chemistries such as Cl\sub 2\(HBr)/N\sub 2\(O\sub 2\) which are used to etch polysilicon, effects of new etch gas chemistries such as Br\sub 2\(BBr\sub 3\)/N\sub 2\(O\sub 2\) were also investigated. When Cl\sub 2\/N\sub 2\(O\sub 2\) was used, as the ICP power increased, silicon etch rates increased with the sacrifice of etch selectivities at a fixed bias voltage. The increase of etch selectivity could be obtained with the decrease of bias voltage. However, the decrease of the bias voltage increased the degree of undercut of the deep submicron trench profile. The increase of oxygen or nitrogen precent in Cl\sub 2\ reduced the undercut, however, it also reduced the selectivity over silicon nitride. HBr instead of Cl\sub 2\ helped to improve the etch selectivity. But hydrogen in HBr \ introduced physical defects in silicon trenches. Bromine vapor without hydrogen was required to remove hydrogen induced defects and to increase the selectivity without significantly reducing the silicon etch rates.
PS-MoP-5 A Duoplasmatron Ion Source with a Microwave Plasma Cathode
M. Lieberman, Y. Glukhoy, G. Popov (University of California, Berkeley)
The proposed duoplasmatron ion source with an ECR plasma cathode combines the advantages of ECR discharges (high plasma density, multicharged ion production) with the plasma concentration ability of duoplasmatron sources. Plasma density 30 to 50 times greater than that in the ECR discharge can be obtained. The ECR cathode duoplasmatron source consists of: i) A compact cylindrical ECR discharge source with closed magnetic circuit. The MW power is introduced by an antenna matched with the MW generator. ii) A duoplasmatron with a two-part intermediate electrode(IE) configuration (IE1 at potential close to the anode potential and IE2 - floating electrode with a ferromagnetic insert for increasing the magnetic induction in the channel). This construction assures 40 % more extraction current comparing with the conventional duoplasmatron with an one-part IE. iii) A two-electrode ion extractor. The ion source produces a circular ion beam with low energy spread from various gaseous elements. Ion beam current up to several milliamps at 5 kV with 0.5 mm aperture can be achieved. The proposed ion source would be of interest for ion beam production in chemically active gases such as oxygen. The ECR cathode (no filament) assures a long lifetime function.
PS-MoP-6 An Advanced Via Formation Technology using Electron Cyclotron Resonance C\sub 4\F\sub 8\ Plasma for 0.25 Micron CMOS Processing
H. Park, D. Kim, B. Lee, H. Lee (Hyundai Electronics Industry Co., Ltd., Korea)
APCVD O3-TEOS films are promising candidates for intermetal dielectric (IMD) films because of its "flow like" step coverage and good submicron gap filling capacity. However, more than 1.2 micron thick O3-TEOS is required to satisfy local planarization of 0.25 micron CMOS which has various metal-1 lines and spacings, resulting in deep via holes. Conventionally, we use pre- etchback of IMD, followed by wet etch to make concave curvature, "wine glass" shape and then dry contact etch to form reliable via holes. In this study, we have developed and evaluated an advanced via formation technology which shows convex curvature via holes without wet etching. The technology includes electron cyclotron resonance (ECR) C4F8/O2 plasma etching to form deep submicron via holes which utilizing APCVD O3-TEOS as a intermetal dielectric. We have studied slope angles of via holes versus various via etch process parameters such as gas chemistry, pressure and rf power. Furthermore the contact resistance versus slope angles of via holes and various in-situ dry cleaning method are investigated. The via contact resistance is found to be dependent on slope angles of via holes, while the standard deviations of the via contact resistance on the wafer is found to be dramatically decreased by CF4/O2 in-situ dry cleaning. This advanced via formation technology is successfully demonstrated and very effective for 0.25 micron 256M DRAM technology.
PS-MoP-7 Diagnostics of a Newly Developed 300mm Homogeneous Permanent Magnet ECR Plasma
S. Den, T. Kuno, M. Hori, M. Ito, T. Goto (Nagoya University, Japan); Y. Sakamoto (Nichimen Electronic Technology Co., Ltd., Japan)
High homogeneity over a large area with high density at low pressure are just some of the requirements for future microelectronic plasma processing sources. Meeting these requirements while scaling up existing plasma sources is proving to be difficult. We have developed a practical solution, a size-scaleable large area electron cyclotron resonance (ECR) plasma source for 300mm wafer processing using permanent magnets. To avoid local breakdown associated with using slot antenna ECR plasma, we have designed and developed a new microwave transmission system which utilizes strip transmission via a strip-bar antenna. At this conference a description of this new ECR plasma source is outlined. It's characteristics include plasma density \>\ 10\super11\ cm\super-3\, low electron temperature (Te) and low space potential. Uniformity within plus or minus 3.8 percent has been obtained. Measurement of the absolute radical density of newly developed ECR sources is essential in understanding not only the basic plasma chemistry but also in clarifying the plasma processes involved in the fabrication of ultra-large scale integrated circuits. Infrared diode laser absorption spectroscopy (IRLAS) is one of the more powerful non-intrusive measurement methods available today for radical diagnostics. Here the results from the newly developed large area ECR source are presented to demonstrate control of the plasma gas phase chemistry.
PS-MoP-8 M=+1, \+-\1, and \+-\2 Mode Helicon Wave Excitation
J. Kim, S. Yun, H. Chang (Korea Advanced Institute of Science and Technology)
The characteristics of M=+1, \+-\1 and \+-\2 modes helicon waves excited using a solenoid antenna, Nagoya type III and quadrupole antenna respectively are first investigated. The solenoid antenna is constructed by winding a copper cable on a quartz discharge tube. Two dimensional cross-field measurements of ArII optical emission induced by hot electrons are made to investigate RF power deposition. Components of the wave magnetic field measured with a single-turn, coaxial magnetic probe were compared with the field patterns computed for M=+1, \+-\1 and \+-\2 modes. The M=+1 mode plasma produced by the solenoid antenna has a cylindrical high intensity plasma column, which center is empty. This cylindrical high intensity column results from the rotation of the cross-sectional electric field pattern(right hand circularly polarization). The radial plasma density profile has a peak at r = 2.5 cm with axisymmetry. It has been found that the radial profile of the plasma density is in good agreement with the computed power deposition profile. The radial profiles of the wave magnetic field agree well with the computations also. The plasma excited by Nagoya type III antenna has two high intensity columns which result from the linear combination of M=+1 and -1 modes(i.e. plane polarization). The high intensity columns are not located near the horizontal legs of the antenna but rotated 90 degrees from them. The energy absorption of the helicon waves, -dW/dt = , is given as = c J\sub 1\ \super 2\ (1+cos2\theta\) for the plane polarized m=\+-\ 1 mode. The radial plasma density profile is in good agreement with emission intensity profile of ArII line(488nm) and is consistent with the energy absorption profile. The plasma excited by quadrupole antenna has four high intensity columns which result from the linear combination of M=+2 and -2 modes. The high intensity columns are not located near the horizontal legs of the antenna but rotated 45 degrees from them. In the M=\+-\2 modes, the radial plasma density profile is also in good agreement with emission intensity profile of ArII line.
PS-MoP-9 Characterization of Polysilicon Gate Patterning Processes in a High Density Plasma Helicon Source
F. Bell, O. Joubert, S. Vallon (France Telecom-CNET)
Polysilicon gate etching has been studied in a high density Helicon source using an oxide hard mask and a conventional resist mask with HBr/Cl2/O2 chemistries. This work shows that the use of an oxide hard mask improves the robustness of polysilicon gate etching processes. For gate oxide thicknesses <= 45 A, no structural defects are created in active areas of the device when an oxide hard mask is used, whereas defects are generated at the edges of the features in the active areas of the silicon substrate when a photoresist mask is used. The use of an oxide hard mask eliminates the carbon contamination, which is found to decrease the polysilicon to gate oxide etch rate ratio by a factor of >=3 in open areas under the plasma operating conditions used. The increase in gate oxide consumption with a resist mask has been related to an increase in carbon concentration on the gate oxide surfaces as measured by XPS. The chemical topography of features after etching using either an oxide hard mask or a photoresist mask and using a polymerizing HBr/Cl2/O2 chemistry was also analyzed by XPS. The analysis of the photoresist masked sample showed that a silicon oxide type layer is formed on the sidewalls of the resist and polysilicon during the etching process. The small amount of carbon found in the polymer indicates that - contrary to expectations - redeposition of material eroded from the photoresist mask has no significant influence in protecting the polysilicon sidewalls. The composition of this layer suggests that the sidewall film is formed from line-of-sight deposition of silicon oxide etching products. A sidewall film of similar thickness and composition is found on the polysilicon sidewalls when using an oxide hard mask
PS-MoP-10 Aspect Ratio Effects in Submicron Contact Holes Plasma Etching Investigated by Quantitative X-ray Photoelectron Spectroscopy (XPS)
C. Le Goff (Institut des Mat\aa e\riaux de Nantes); G. Turban, M. Peignon (Institut des Mat\aa e\riaux de Nantes, France); R. Bilhant (Matra MHS, France)
The surface analysis of SiO\sub 2\/Si patterned structures after contact etching have been studied by using quantitative XPS. The bottom surface of submicron contact holes have been characterized. The etching process using CHF\sub 3\-CF\sub 4\-Ar plasma was performed in a parallel plate RIE reactor (LAM 4520). Response surface-methodology was employed to correlate external parameters with etching results. For the aspect ratio investigations, processed wafers (PECVD oxide on Si overcoated with photoresist) were used. Areas of photoresist were patterned with a test patterned consisting of line (contact)/space arrays of various dimensions (1 and 0.6 \mu\m). We were interested in the effect of the RF power, of the interelectrode spacing and of the \Phi\[CF\sub 4\]/(\Phi\[CF\sub 4\]+\Phi\[CHF\sub 3\]) ratio on the patterned structures. The silicon of the etched patterned samples presents modifications similar to that observed on unpatterned samples. These ones are describe by a two-layer model involving a fluorocarbon overlayer and a SiO\sub x\F\sub y\(C) interface layer. The thickness and the composition of these layers depend on the geometry and on the size of the etched structure. The fluorocarbon overlayer thickness increases when decreasing the feature size whereas the interface thickness decreases. For a given size, the (C,F) film is thinner in contact holes (compared with trenches) whereas the interfacial layer is thicker. Changing the etching conditions alters the thickness of these layers. XPS analysis have permitted us the estimation of the degree of damages in the silicon surface and have been correlated with thermal wave modulated reflectance.
PS-MoP-11 High Etch Rate and High Selectivity Contact/Via Process for High Aspect Ratio Sub-half Micron Technology with MxP+ Dielectric Chambers
B. Pu, H. Shan, R. Lindley, K. Doan (Applied Materials, Inc.); J. Wang, M. Welch (Applied Materials Inc.)
A contact and via etch process with CHF3/N2 addition to C4F8/COAr chemistry was investigated and characterized for high aspect ratio sub-half micron technology with Applied Materials MxP+ dielectric etch chamber, aiming at the balance of oxide etch rate and etch rate microloading. CHF3 was added to provide more F and CFx species to increase oxide etch without enhancing the under layer etching due to its polymerization nature and it is more controllable since the larger flow amount comparing C4F8. N2 addition was used to reduce the etch rate microloading caused by CHF3. As the part of the results from the experiments conducted for the effects of CHF3 and N2, the etch rate increases about 50% by increasing CHF3 flow from 0 to 40 sccm but is not affected by N2 flow, while the microloading decreases from >40% to <10% by increasing N2 from 0 to 40 sccm and decreasing CHF3 flow. The selectivity (to photoresist and polysilicon) and side wall profile with varying N2 and CHF3 flow also behaves as expected, namely, the selectivity increases with decreasing N2 and increasing CHF3 while the profile becomes more vertical with more N2 and less CHF3. Optical emission spectroscopic measurements were also conducted to study the mechanisms of the effects from the process gases and optimize the overall performance. The selectivity of > 60:1 to TiSi2 and >11:1 to Photoresist, vertical profile of >88., <10% microloading were achieved in the applications of >4:1 aspect ratio, 0.35 um and below features and bi-level structures.
PS-MoP-12 Hole-Sized Dependent Highly Selective SiO\sub 2\ Etching using a Hexthode-Type Wide-Gap Plasma Etcher
S. Hosomi, N. Omori (Mitsubishi Electric Co., Japan)
We demonstrate SiO\sub 2\ etching with high selectivity to Si (>50), with a hexthode-type wide-gap plasma etcher using O\sub 2\ and CH\sub 4\ gas mixture. This hole- size dependent selectivity is similar to that observed in high density plasma (HDP) etchers. At low O\sub 2\ concentrations (<6%), SiO\sub 2\ etching rates of different hole sizes depend on the competition between etching and polymer deposition. Low selectivity to Si in small holes (< 0.5 micrometers) suggests a poverty of deposition reacta nts at the bottom of the holes. In large holes (> 0.8 micrometers) selectivity is very high and almost infinite in a hole size of 2.0 micrometers. This "old type" oxide etcher is applicable when large shallow holes, whi ch need high selectivity etching, and small deep holes must be etched at the same time.
PS-MoP-13 Physical Damage and Contamination by Magnetized Inductively Coupled Plasmas and Effects of Various Cleaning and Annealing Methods
W. Nam, G. Yeom (Sung Kyun Kwan University, Korea); J. Yoon (National Institute of Technology and Quality, Korea); H. Park (Yonsei University, Korea); J. Kim, K. Whang (Seoul National University, Korea)
Contamination formed during the contact hole etching by high density plasmas is difficult to remove because of the small contact holes size of deep submicron devices and high C/F ratio gas combinations used to increase the selectivities of SiO\sub 2\ over silicon. Also, ion energy required to etch SiO\sub 2\ generates ion impact damage on silicon during the overetch period. In this study, physical damage and contamination formed on the silicon surface during the contact hole overetching and various cleaning/annealing methods have been studied for the silicons etched by a magnetized inductively coupled plasma(MICP) source developed in our group. Results show that higher SiO\sub 2\ etch rates and selectivities over silicon are obtained by MICP compared to those by conventional inductively coupled plasmas(ICP). Cross-sectional transmission electron microscopy and current-voltage characteristics of Schottky diodes made with 100% ovretched silicons show that physical and electrical defects are formed on silicon substrates etched by both of the plasma sources even though surface damage was higher for conventional ICP etched silicons. Results of X-ray photoelectron spectroscopy(XPS) show thicker and carbon-richer fluorocarbon residue layers are formed on MICP etched silicons. To remove the damage and contamination, various cleaning and annealing methods are studied and, among these methods, SF\sub 6\/O\sub 2\ or CF\sub 4\/O\sub 2\ cleaning which removes a few nanometer of silicon from the surface shows the complete removal of the residue and the lowest residual damage.
PS-MoP-14 Charge Density Measurements in a Chemical Downstream Etch Tool
J. Stevens, R. Bennett, M. Blain, T. Meisenheimer (Sandia National Laboratories)
Chemical downstream etch (CDE) tools utilize reactive neutrals while suppressing charged particles and UV light at the wafer. Previous Langmuir probe measurements indicated that a small amount of charge was present in the process chamber during some process conditions. Measurements described here point to surface ejection of electrons by metastable atoms as the source of the charge detected with Langmuir probes. The CDE tool consists of a microwave discharge tube, a Teflon-coated transfer tube with two 90ΓΈ bends, and a process chamber which is one meter from the microwave plasma source. Cavity measurements show that the electron density drops below 10/super 6/ cm/super -3/ at a distance of 20 cm from the source. Biased sweep plates in the transfer tube draw little current and do not affect probe measurements in the process chamber, indicating that charged particles are not passing into the process chamber. Probe and sweep plate results are consistent with metastable atoms transported into the process chamber for some conditions. The probe floating potential located just above the wafer was less than 2 V which is not sufficient to cause breakdown in gate oxides. GOI and product results show little damage during CDE processing steps. *Work supported by DOE contract DE-AC04-94AL85000 and by SEMATECH.
PS-MoP-15 Low Temperature Etching using Rapid Temperature Control System
S. Kadomura, I. Mizuno, T. Tatsumi, S. Fokuda, T. Jozaki, S. Hirano, J. Aoyama (Sony Corp., Japan)
In the dry etching it is required to realize fine pattern fabrication technologies with notch free profiles, more precise line width control and higher selectivity to under layers. One approach for realizing these demands is low temperature etching, which uses a conventional chiller system and an electrostatic chuck. However, the conventional methods for controlling the temperature are not optimized for quick nor reliable operations during an actual process. Therefore, it has been impossible to use low temperature etching for production purposes. A temperature control system which responds to temperature change in a s hort time period has been developed based upon the accurate flow-rate control of a gas coolant system. A new electrostatic chuck is built-in a high power heater in a susceptor. the wafer temperature can be varied rapidly from -50 to 50 oC by controlling the susceptor temperature. To suppress fluctuation of the wafer temperature, the gas coolant flow rate should be quickly adjusted to compensate the heat input from the plasma. To achieve the above requirement, the gas coolant flow rate was controlled by a newly developed mechanism through PID control by directly monitoring wafer temperature during etching. A prototype system was installed into a helicon wave plasma reactor. Th e temperature increase during poly-Si etch was investigated. The temperature increase observed was less than 5 oC. The time for temperature change was measured and concluded that it took only less than 45 sec to decrease the temperature form 30 oC to -50 oC, and less than 60 sec to increase it from -50 to 50 oC. Using this system, it makes possible low temperature etching which enabl es the rapid temperature response between etch steps. As the result, we have achieved W-polycide etch with notch free profiles and high selective ratio by adopting as an over etch step the lower temperature etch with the developed rapid temperature control system.
PS-MoP-16 A Comparative Study of High Silicon Content Resists for the Fabrication of Small Features using Plasma Etch
J. Murphy, R. Jones (University of Kent, England); Y. Nagasaki (Science University of Tokyo, Japan)
Resists that are durable to plasma etch environment are desirable for numerous applications. Many of the recently developed systems rely upon silicon to impart the desired resistance to plasma etch. Such systems usually rely upon either a multi-layer process or a silylation of a previously exposed polymer, this processes both increase the number of processes necessary in feature fabrication. .Here we report th assessment of two classes of polymers containing a high proportion of silicon. One system consists of a polymer containing a silicon backbone, the other contains a conventional carbon backboned polymer. Etching studies have been performed and the results have been related to the polymer structure. One resist system consisting of poly[4-bis(trimethylsilyl)methyl]styrene] displays good contrast and is capable of resolving very small features displaying extremely good height aspect ratios. These features allow the transfer of the printed pattern into durable substrates such as silicon and silicon oxide.
PS-MoP-17 New Insight Into the Reactive Ion Etching of Fence-free Patterned Platinum Structures
K. Milkove (IBM T.J. Watson Research Center); C. Wang (IBM Microelectronics Division)
An investigation was undertaken to characterize the time progression of the Pt etch process during the reactive ion etching (RIE) of fence-free patterned structures. The goal of this study was to clarify the mechanism of fence-free Pt etching. In particular an explanation was sought that could account for the origin of the extremely shallow sidewall angles typically reported in the literature for fence-free Pt etching. The experiment consisted of coprocessing two oxidized Si wafers possessing identical 2500 \Ao\ thick Pt film layers, but different photoresist (PR) mask thicknesses. Etching was suspended at 20, 40, 60, and 80% of the full etch process in order to cleave off small pieces of wafer for analysis by scanning electron microscopy (SEM). Using Cl\sub 2\-based RIE conditions known to produce fence-free etching for 2500 \Ao\ thick film layers, we found that a severe fence actually coats the PR mask during the first 20% of the etch process! As the etch continues the fence structure evolves, achieving a maximum height and width followed by progressive recession until disappearing completely prior to process endpoint. SEM micrographs clearly reveal how the existence of these transient fence structures account for the formation of shallow Pt sidewalls. The data also shows that the final profile of an etched Pt structure possesses a functional dependence on the initial thickness and slope of the PR mask as well as on the initial thickness of the Pt layer. Finally, we believe that the observed behavior of the transient fence provides the strongest evidence to date supporting the existence of a chemically assisted physical sputtering component associated with the RIE of Pt films in halogen-based plasmas.
PS-MoP-18 A Golden Rule for the Design of the Gaede Pump
M. Spagnol, R. Cerruti, J. Helmer (Varian S.p.A., Italy)
Gaede-type pumps have been used to extend the high pressure exhaust of turbomolecular pumps in the range of viscous flow. A model of such a pump was formulated by Gaede in 1910, but not fully developed.A simple picture of how the Gaede pump operates in viscous flow is provided, and a golden rule which optimizes parameter values, such as channel length, channel diameter, surface velocity, and exhaust pressure, to obtain the maximum compression at high pressure is presented. Above a critical pressure, specified by this rule, compression decreases in proportion to inverse pressure. Experimental results are given in support of the golden rule for a single Gaede channel. A comparison of different types of pumps for compression in viscous flow is besides presented and it shows for the Gaede pump an higher compression at the critical pressure due to the singularity that occurs in the denominator of the optimum design model . At lower viscous pressures the compression characteristic is complicated in all pump types, however model singularities may occur in other pump type respect to the Gaede one, which give it a higher compression at lower pressure. The Gaede pump is instead optimized for high pressure and his compression characteristic is shown in a new simulation program.
PS-MoP-19 Ellipsometry Study of the Threshold Energy for Plasma Erosion: Neon on Tungsten
R. Bastasz (Sandia National Laboratories); M. Kitajima (National Research Institute for Metals, Japan); T. Kurashina, I. Kamioka (University of Tsukuba, Japan)
The threshold energy for erosion of solid surfaces by energetic ions emanating from plasmas is a matter of considerable importance in a number of technologies, ranging from processing of semiconductor materials to the development of plasma-facing components in fusion reactors. For pure, monoenergetic ion beams, the threshold energy for surface erosion is usually equated with the threshold energy for physical sputtering. But in plasmas, where a distribution of ion energies and species exist, it is more difficult to make this assignment. Furthermore, a number of parallel processes in addition to physical sputtering can be occurring at the plasma-surface boundary. These include implantation of energetic plasma ions and impurities, adsorption of thermalized plasma species and impurities, and plasma-enhanced reactions among surface species. We have determined the threshold energy for the erosion of tungsten metal films by a neon plasma as a function of incident Ne energy, which was varied by applying a bias to the sample, using in-situ ellipsometry. The threshold energy at normal incidence was found to be near 100 eV for high-purity plasmas, but increased to almost 500 eV when significant levels of plasma impurities (approximately 1% total C, N, and O) were present. The lower value approaches the upper threshold for physical sputtering while the higher values demonstrate the influence of impurity deposition and surface oxidation during low-energy plasma exposure. The relative importance of physical sputtering along with the role of plasma impurity deposition and surface reactivity will be discussed. __________ This work was supported through the Japan STA Nuclear Science Fellowship Program and by the US Department of Energy under contract DE-AC04-94AL85000.
PS-MoP-20 Effects of Bias Frequency on RIE Rag in Electron Cyclotron Resonance Plasma Etching System
H. Doh, K. Whang (Seoul National University, Korea); C. Yeon (LG Semicon Co. Ltd.)
We examined the etch rate of SiO\sub 2\ contact holes which have the sizes of 0.3~1.2 \mu\m in C\sub 4\F\sub 8\+H\sub 2\ electron cyclotron resonance plasma etching system. We used the chamber pressure (3~7.5 mTorr), microwave power (300~800 W), bias voltage (100~300 V) and bias frequency (100 kHz~1 MHz) as the experimental parameters to investigate the RIE rag. As the bias voltage and microwave power increase, the RIE rag improves. These RIE rag improvements are due to the increase of ion current density and ion energy incident on the substrate. The RIE rag also improves as the chamber pressure decreases. The RIE rag improvement dependence on the chamber pressure is due to the increase of ion current density. However, the parameters such as microwave power, the chamber pressure and bias voltage are related not only to RIE rag but also to the etch rate, etch selectivity and etch damage. When we increased the bias frequency from 100 kHz to 800 kHz maintaining the same bias voltage, the RIE rag improves considerably even in case of 30% H\sub 2\ addition. To elucidate this phenomenon, we calculated the ion energy distribution using Monte-Carlo particle-in-cell method. The ion energy distributions are bimodal below the frequency of 30 MHz. As the bias frequency increases from 100 kHz to 1 MHz, the peak of low energy part decreases and the peak of high energy part increases. It is suggested that this change of the ion energy distributions is responsible for the RIE rag improvement.
Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1996 Schedule