ALD/ALE 2024 Session ALE1+AM-TuA: ALE & Sustainability

Tuesday, August 6, 2024 1:30 PM in Room Hall 3F
Tuesday Afternoon

Session Abstract Book
(318KB, Jun 24, 2024)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

Start Invited? Item
1:30 PM Invited ALE1+AM-TuA-1 Centering Sustainability in Future Plasma-Enhanced ALE Processes
Nathan Marchack (IBM Research)

Recent progress in the field of artificial intelligence has also highlighted the critical role of semiconductor manufacturing in delivering the necessary compute requirements. Simultaneously, the prospect of future proliferation of such technologies presents serious ramifications for the environment. Examinations of the increases in resource consumption as both software [1] and hardware [2] advance have raised awareness of the need to consider the sustainability of future development. In this talk we will examine this idea as it pertains to plasma-enhanced atomic layer etching (PE-ALE), building off our recent work on reducing gas usage in deep Si etching. [3] We review new results studying mechanisms of halogen substitution on Si surfaces and the need for synergy between all facets of the development process, from substrate materials to gas precursor development. Adopting this focus as soon as possible will strengthen the fundamental role of atomic layer processes in enabling future technologies.

[1] E. Strubel et al., Energy and Policy Considerations for Deep Learning in NLP, Proc. of the 57th Annual Meeting of the Association for Computational Linguistics, 2019, pp. 3645–3650, Florence, Italy.

[2] M.G. Bardon et al., DTCO including sustainability: Power-performance-area-cost-environmental score (PPACE) analysis for logic technologies, IEEE International Electron Devices Meeting (IEDM), 2020, pp. 41.4.1-41.4.4, San Francisco, CA, USA.

[3] O. Isowamwen et al., Characterization of TSV Etch from a Sustainability Standpoint, Proc. SPIE 12499, Advanced Etch Technology and Process Integration for Nanopatterning XII, 2023, 124990H, San Jose, CA, USA.

2:00 PM ALE1+AM-TuA-3 Life Cycle Assessment of GaN ALD
Houyem Hafdi, Annelie Carlson, Henrik Pedersen (Linköping University, IFM)

ALD is a fast-growing industry; it is estimated to reach 6,2 Billion USD by 2028, which implies that the demand for metals and energy sources is increasing. Hence, the sustainability of ALD, other nanotechnologies, and nanomaterials has become a major concern and needs to be evaluated1,2.

Life Cycle Assessment (LCA) is a process for evaluating possible benefits and different environmental impacts through a product´s life cycle based on the defined approaches of ISO 140443and ISO 140404. We will show how to apply the LCA methodology to examine and quantify the sustainability of ALD. We chose GaN as the model material for this study owing our experience of this process and of conventional CVD of GaN, allowing comparisons between conventional CVD and ALD. No research regarding LCA of GaN ALD has been carried out to date.

First, the standard LCA analysis is based on choosing a functional unit, which in our study is the deposition of a 20 nm GaN film from Triethygallium (TEG) and Ammonia (NH3) plasma on a 200nm-diameter silicon substrate. The second step is defining the system boundaries. We chose a cradle-to-gate system that investigates the life cycle phases throughout the entire ALD process. The third step is the inventory analysis where all inputs and outputs are collected, these data include material consumption, electric and thermal energy use, as well as air emissions and by-products. The LCA was carried out based on the Ecoinvent 3 database.

The final step is the impact life cycle assessment, where the results are then transformed into the impact categories of ecotoxicity (ET), global warming potential (GWP), human health (HH), ozone depletion (OD), resource fossil fuels (RFF), human toxicity cancer (HTC), and smog air (SA). We will discuss the sustainability of ALD of GaN from the LCA and pinpoint where the focus should be when making GaN ALD more sustainable.

1. Battisti, R.; Corrado, A. Evaluation of technical improvements of photovoltaic systems through life cycle assessment methodology. Energy 2005, 30, 952−967.
2. Pedersen, H., Barry, S. T., & Sundqvist, J. (2021). Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition. Journal of Vacuum Science & Technology A, 39(5)
3. ISO 14044; Environmental Management Life Cycle Assessment Requirements and Guidelines. International Standards Organization: Geneva, Switzerland, 2006.
4. ISO 14040; Environmental Management Life Cycle Assessment Principles and Framework. International Standards Organization: Geneva, Switzerland, 2006.

2:15 PM ALE1+AM-TuA-4 Specialization of Atomic Layer Etching to Address Sustainability Challenges
Philippe BEZARD (IMEC, Belgium); Atefeh Fathzadeh (KU LEUVEN)

Atomic Layer Etching (ALE) offers many attractive capabilities such as angstrom-level control over etch depth, excellent anisotropy (with plasma ALE) or isotropy (with thermal ALE), across wafer uniformity, and potentially lower damages than conventional plasma etching. However, in practice, it is rare to find an application where all those capabilities are needed at once and all the time. And thus, pure ALE is rarely found as a main etching process. In terms of sustainability, its extremely low throughput imposes the use of many more etch chambers than conventional processes to reach similar throughput, thereby multiplying consumption of process water, compressed air, power for the entire platform hosting the chambers (pumps, chillers, robots, etc.). Addressing the low throughput of ALE, without considering the type of gas used, is a major improvement for the environment. By only selecting the most relevant etching capabilities for a certain application, better trade-off between patterning performance and throughput can be achieved. Several approaches are tackling this issue such as quasi-ALE, Transient Assisted Plasma Etching, or by using ALE only as a corrective step to a faster sub-optimal etching process.

However, Atomic Layer Etching has several advantages which can be exploited to minimize the consumption of gases hostile to the environment (either by their Global Warming Potential or their toxicity/ corrosivity). First, by allowing enough time for the ions to desorb most etch products, the proportion of etchants adsorbed at the top surface that are used for the formation of a volatile product is high. Second, by removing purges and sacrificing full self-limitation, the outgassing of the reactor walls becomes a major supply of reactants. Cyclic processes such as ALE can be tuned for a better usage of the supplied gas than single-step processes. Finally, provided that enough etchant has been supplied to the entire wafer’s surface, the excellent etch uniformity across wafer of ALE allows to run conditions maximizing gas usage which would otherwise be rejected in more conventional processes due to unacceptable compromises in uniformity. These unique properties can be exploited and have profound consequences on the design of the process chamber itself. This family of process should be considered as their own special category (not as a slightly different version of dry-etching), and have its own fully dedicated hardware in order to reduce their environmental impact.

2:30 PM ALE1+AM-TuA-5 Thermal Al2O3 Atomic Layer Etching Using HF and Hacac Reactants: Etch Enhancement from Refluorination by Product HF During Hacac Reaction
Andrew S. Cavanagh, Troy A. Colleran, Aziz I. Abdulagatov, Steven M. George (University of Colorado at Boulder)

Thermal ALE is typically defined by two sequential, reactant exposures separated by a purge. One reactant modifies the surface and the second reactant volatilizes the modified surface layer. In this study, a new ALE reaction process was identified where the surface modification reactant is also a reaction product of the volatilization reaction. Under these circumstances, etching can continue nearly indefinitely by repeating the exposure of the volatilization reactant. This study explores Al2O3 ALE using HF as the surface modification reactant and Hacac as the volatilization reactant. The reactions were monitored in situ using quartz crystal microbalance (QCM) and quadrupole mass spectrometry (QMS). HF fluorinates the Al2O3 surface to AlF3 in the first reaction and then Hacac volatilizes the AlF3 surface layer forming Al(acac)3 and HF in the second reaction. The HF reaction product from the Hacac reaction can then serve as a surface modification reactant to refluorinate the Al2O3. By performing multiple mini-doses of Hacac after an initial HF exposure, a large etch rate enhancement is observed for Al2O3 ALE compared with a single Hacac mini-dose. Figure 1 displays the QCM response to 10 Hacac mini-doses at 250 °C. Each Hacac mini-dose results in a net mass loss. The first Hacac dose removes the most mass. The 10th Hacac dose removes the least mass. The diminishing returns with subsequent Hacac mini-doses are the result of loss of some HF reaction product to the gas phase. If all the HF were recycled, then the HF reaction product could lead to perpetual etching. Similarly, Figure 2 shows the QMS response for successive Hacac mini-doses on HF-exposed Al2O3 at 250 °C. All QMS signals show a diminished response with successive Hacac exposures. The HF+ signal is evidence of HF produced during the volatilization step that escapes to the gas phase. The H2O+ signal is consistent with surface refluorination by HF reaction product. The Al(acac)2+ and Al(acac)3+ signals result from volatilization of the AlF3 surface layer. The diminishing response is attributed to the loss of HF to the gas phase. With ten Hacac mini-doses per cycle, Al2O3 etch rates were determined using QCM between 160 – 300 °C. The largest etch rate of 3.07 Å/cycle [91.0 ng/(cm2·cycle)] was observed at 290 °C. The smallest etch rate of 0.04 Å/cycle [1.1 ng/(cm2·cycle)] was measured at 160 °C.

View Supplemental Document (pdf)
2:45 PM ALE1+AM-TuA-6 Elucidating Gas Phase and Surface Reactions of Atomic Layer Etching
Taylor G. Smith (University of California, Los Angeles); Ethan J. Crumlin (Lawrence Berkeley National Laboratory); Jane P. Chang (University of California, Los Angeles)

Atomic layer etching (ALE) is playing an increasingly important role in the manufacturing of nanoelectronics and other devices. Theoretical calculations are often used to screen for viable ALE processes by predicting thermodynamically favorable etch products. However, experimental verification of the predicted etch products is needed. In this work, magic angle spinning nuclear magnetic resonance spectroscopy (MAS-NMR) and ambient pressure X-ray photoelectron spectroscopy (AP-XPS) are explored as methods to study ALE mechanisms in the gas phase and at the surface.

Recent advances in MAS-NMR rotor design have enabled the study of heterogenous systems, and this work builds on these advances to study the vapor-solid interactions that lead to etching in thermal ALE processes1. A novel MAS-NMR rotor packing method is discussed that is adapted specifically to the study of vapor solid interactions that are the backbone of thermal ALE. This method allows for segregation of a liquid etchant from the solid at room temperature, but exposes the solid to vapor phase etchant when the rotor is heated. MAS-NMR is then used to study the thermal ALE mechanisms of a CuO system etched in 13C-enriched formic acid vapor, with the results indicating a dimeric product with antiferromagnetically coupled Cu centers, in agreement with predicted results. AP-XPS, in turn, can be used to look specifically at surfaces during thermal reactions. Again, CuO in formic acid is used as a model system to demonstrate the applicability of this technique.

1 A. Chamas, L. Qi, H.S. Mehta, J.A. Sears, S.L. Scott, E.D. Walter, D.W. Hoyt, Magn. Reason. Imag.56, 37 (2019).

3:00 PM ALE1+AM-TuA-7 Thermal Atomic Layer Etching of Ta with NbCl5 and O2
Juha Ojala, Mykhailo Chundak, Marko Vehkamäki, Anton Vihervaara, Mikko Ritala (University of Helsinki)

The widely used Cu interconnects in integrated circuits require diffusion barriers to prevent the diffusion of Cu into dielectric layers and contamination of Cu by the constituents of the dielectric layers. Materials used for this barrier layer include TiN, TaN and Ta metal. Processing these materials in a controllable manner becomes critical as the interconnects and barrier layers need to shrink to accommodate the smaller feature sizes in future integrated circuits. Atomic layer etching (ALE) is one such controllable method, which can be used to thin deposited layers, selectively etch unmasked areas of films, and to remove unwanted deposits in additive manufacturing methods, e.g., as a corrective step in area-selective deposition.

We present an isotropic ALE process for etching metallic Ta, based on oxidation of the surface layer with O2 and etching of the resulting oxide with NbCl5. The ALE process was studied using XRR and EDS thickness measurements as well as with XPS studies. The films were characterized before and after etching using XRD, EDS, SEM, and AFM. Effect of the film crystallinity on the etching process was studied in detail, along with oxidation of the films during etching.

Etching was studied at 300–400 °C using Ta films consisting of a mixture of cubic α-Ta and tetragonal β-Ta, as well as films consisting solely of α-Ta. It was found that the β-phase of Ta etches much more readily and is also prone to etching with NbCl5 even without the oxidizer. Etch per cycle (EPC) of at least 2.0 and 4.0 Å was found for etching α-Ta at 300 and 350 °C, respectively. Gas phase etching of Ta2O5 and other oxides with NbCl5 was also studied.

Dissolution of oxygen into the Ta films during etching was evident, and even a suboxide phase was observed during prolonged experiments. Otherwise, partially etched films were free of impurities. The mixed phase films showed development of island-like morphology due to the different EPC of the two phases, whereas the α-Ta films etched uniformly and had smooth surfaces. This study shows that thermal ALE of Ta is simple to perform in principle, but the phase composition of the metal can have significant effect on etching characteristics.

3:15 PM ALE1+AM-TuA-8 Atomic Layer Etching of Tantalum: Unlocking the Etching Mechanism by in-Vacuo XPS Studies
Mykhailo Chundak, Juha Ojala, Matti Putkonen, Mikko Ritala (University of Helsinki)

Atomic layer etching (ALE) has emerged as a promising tool/method for nanofabrication technologies, offering the incomparable precision and control in material removal processes. The etching mechanism involves a set of self-limiting surface reactions that achieve the atomic-scale precision, uniform etching and volatilization of the modified surface. The reaction mechanism depends on the varieties of factors like chemical energy, kinetic energy etc. To elucidate the mechanisms of the reactions we used the cluster tool setup in HelsinkiALD laboratory1. The tool allows us to study the reactions with surface science techniques in vacuo after interrupting the reactions at each pivotal step giving us new insights about the reaction intermediates and thereby processes occurring at the surface.

Here we present results of the etching process of tantalum metal thin films. Tantalum, renowned for its exceptional corrosion resistance, holds significant importance across various industries, including microelectronics, catalysis, and energy storage. The 40 nm thick Ta films were first deposited via filtered cathodic arc discharge (FCAD) and subsequently subjected to an intricate etching process at 300 °C with NbCl5 and O2 within the cluster tool. Stoichiometry of the films was studied by X-ray photoelectron spectroscopy (XPS) at each step of the etch process. Initially the tantalum films were subjected to sputtering using Ar+ ions to effectively remove the oxide layer, ensuring a pristine surface for subsequent reactions. Following this, controlled oxidation with O2 gas was implemented to form surface oxides on the tantalum films. Finally, the tantalum films were exposed to NbCl5, allowing for the observation of chemical composition changes indicative of the etching process. Notably, the etchant effectively removes the tantalum oxide layers starting from the highest oxidation states until the lower ones resulting in the stoichiometry reminiscent of the surface after sputtering.

Through systematic investigation and analysis, this study provides insights into the complex dynamics of atomic layer etching applied to the Ta thin films. The findings contribute to a better understanding of the ALE processes and valuable insights into precise control of the materials modification for further technological applications.

(1) Nieminen, H.-E.; Chundak, M.; Heikkilä, M. J.; Kärkkäinen, P. R.; Vehkamäki, M.; Putkonen, M.; Ritala, M. In vacuo cluster tool for studying reaction mechanisms in atomic layer deposition and atomic layer etching processes. Journal of Vacuum Science & Technology A 2023, 41 (2). DOI: 10.1116/6.0002312 (acccessed 1/30/2024).

3:30 PM Break & Exhibits
Session Abstract Book
(318KB, Jun 24, 2024)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule