ALD/ALE 2024 Session AF2-TuM: Growth and Characterization: Plasma Enhanced ALD I

Tuesday, August 6, 2024 10:45 AM in Room Hall 3A
Tuesday Morning

Session Abstract Book
(292KB, Jun 24, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

Start Invited? Item
10:45 AM AF2-TuM-12 Plasma-Enhanced ALD of Ga2O3 and Gan with Remote CCP-Plasma, Short Cycle Times, and Substrate Biasing
Roel Theeuwes, Leon Gutmann (Eindhoven University of Technology); Harm Knoops (Oxford Instruments Plasma Technology, Eindhoven University of Technology); Erwin Kessels (Eindhoven University of Technology)

The unique merits of atomic layer deposition (ALD), including high uniformity, high conformality, and excellent thickness control, often come with the caveat of relatively long processing times. Therefore, there is a demand for faster ALD processing, which has driven innovations in terms of ALD reactor and plasma source design.1 In this work, we investigate plasma-enhanced ALD (PE-ALD) of gallium oxide (Ga2O3) and gallium nitride (GaN) on a new ALD system, designed for ultrafast and low-damage PE-ALD processing with rf substrate biasing capabilities. Ga2O3 and GaN are materials with highly suitable properties for use in various applications, including power devices.2,3

The PE-ALD processes for Ga2O3 and GaN were developed on an Oxford Instruments PlasmaPro ASP and serve to further explore the capabilities of this new system, and compare differences between processing of Ga2O3 and GaN on the same tool. Triethylgallium ([C2H5]3Ga; TEG) and Ar/O2 or Ar/N2/H2 plasmas are used to deposit Ga2O3 and GaN (Tdep = 200 °C), respectively. The Ga2O3 process has a short cycle time of 2 seconds, a growth-per-cycle (GPC) of 0.73 Å/cycle, and 3.8 % thickness non-uniformity on an 8 inch wafer. The GaN process has a cycle time of 6 seconds, a GPC of 0.33 Å/cycle, and a 15.6 % thickness non-uniformity on an 8 inch wafer. The films are close to stoichiometric, with carbon impurities <1 at. %, which confirms the deposition of high-quality films. The oxygen content in GaN is quite low at around 4 at. %. The material properties of GaN and Ga2O3 can be tuned using deposition temperature and rf substrate biasing. A higher deposition temperature leads to a higher density for GaN, while for Ga2O3 density does not change significantly for deposition temperatures between 100-200 °C. Furthermore, rf substrate biasing with DC bias voltages between 0 and -70 V affected both GPC and refractive index of GaN, which show the importance of precise ion energy control. This research has allowed for insights in the behaviour of this new ALD system, and shows that high-quality Ga2O3 and GaN films with short cycle times and controllable properties can be obtained.

References

1H. Knoops et al. J. Vac. Sci. Technol. A, 39 (2021).

2A. Mahmoodinezhad et al. J. Vac. Sci. Technol. A, 38 (2020).

3P. Motamedi et al. J. Mater. Chem. C, 3 (2015).

View Supplemental Document (pdf)
11:00 AM AF2-TuM-13 YxC Thin Films Prepared by Plasma Enhanced Atomic Layer Deposition as a Diffusion Barrier and Glue Layer for Cu & Ru Interconnects
Minjeong Kweon, Chaehyun Park, Sang bok Kim, Soo-Hyun Kim (Ulsan National Institute of Science and Technology (UNIST))

Transition metal carbides (TMC) and nitrides (TMN) are widely used materials in industrial applications due to their exceptional hardness, high melting temperatures, and chemical stability [1]. In particular, transition metal carbides are stable at high temperatures with a higher phase transition temperature than other nitrides and oxides. Additionally, they possess excellent resistances to the oxidation and corrosion, making them valuable in various technological applications, including mechanical structures, the chemical industry, and nuclear power [2]. However, researches regarding both the processes of yttrium carbide (YCx) thin film, one of the transition metal carbides, and their properties are still in the very early stages and pioneering studies exploring the deposition possibility of YCx thin film and its potential applications based on the characteristics of the deposited YCx thin film are necessary. In this study, YCx films were deposited by plasma enhanced atomic layer deposition (PEALD) (IOV dX1 PEALD reactor, ISAC Research, Korea) using a new Y metalorganic precursor and H2 plasma as the reactant, at the deposition temperature ranged from 150 to 350 °C. With an increase in precursor pulse time and reactant pulse time, self-limited film growth, which is the typical characteristics of ideal ALD, was observed. Moreover, under the optimal pulsing conditions, a clear linear dependence of film growth on the number of ALD cycles was evident. The saturated growth per cycle (GPC) was approximately 1.3 Å/cycle. The ALD–YCx films with the formation of nanocrystalline structures and a rhombohedral Y2C phase were confirmed by XRD and XPS, with optimized deposition condition at 250 °C. STEM-EDS analysis showed that the composition was YCx (C/Y = 0.47). Additionally, experiments on the thermal stability of YCx films at high temperatures were conducted alongside XRD analysis. The step coverage of the film deposited at 250 °C was approximately 85%on the trench structures (bottom width of 120nm and aspect ratio of approximately 4.3). Finally, the PEALD-YCx deposited under optimized conditions is applied as a diffusion barrier for the Cu & Ru interconnects. The detailed results will be presented in the conference.

*Corresponding Author: soohyunsq@unist.ac.kr

[1] Aliakbari, A., & Amiri, P., Structural, elastic, electronic, thermal, and photonic properties of yttrium carbide: First-principles calculations, Materials Chemistry and Physics, 2021, 270, 124744.

[2] Pierson, H. O., Chapter 4, in Handbook of Refractory Carbides and Nitrides, William Andrew Publishing, 1996, Pages 55-80.

View Supplemental Document (pdf)
11:15 AM AF2-TuM-14 Plasma Enhanced Atomic Layer Deposition of Boron Nitride
Marc Reynaud (University of Texas at Austin); Jianping Zhao, John Carroll, Gabriel Blankemeyer, Peter Ventzek (Tokyo Electron America, Inc.); Jamie Warner, John Ekerdt (University of Texas at Austin)

The International Roadmap for Devices and Systems has identified challenges with continued device scaling and for future device architectures. With respect to interconnect technology requirements for logic devices, the challenges include “the introduction of new materials that meet the wire connectivity requirements, reduce dielectric permittivity and meet reliability requirements. The interlayer dielectric constant is projected to range from 3.2 to 2.2 for inorganic materials. Reaching these dielectric constants poses significant challenges. Conventional silicon dioxide based (SiCOH-based) dielectrics cannot reach these levels without introducing porosity that compromises the reliability of the film. Thin dielectric layers will be needed to serve as liners and etch stop layers along with the interlayer dielectric. Boron nitride (BN)-based thin films are promising materials for these applications as they possess attractive chemical, mechanical and electrical properties for the low-k environment integrated with Cu/Ru and Mo. Indeed reports have shown amorphous BN (a-BN) films with dielectric constants less than 3.0.

Here, we use PEALD to deposit boron nitride on Si(001) native oxide by alternating a thermal exposure of borazine (triazatriborinane), a single source precursor, at 25 and 100 °C, and a nitrogen-based plasma step. An experimental capacitively coupled radio frequency plasma source is used to understand how different plasmas, including ammonia, hydrogen, nitrogen, and pure argon, different frequencies, and plasma power impact the nature of chemisorption, film growth and film properties. Films are characterized using in situ X-ray photoelectron spectroscopy and ex situ using Raman spectroscopy, transmission electron microscopy and time of flight secondary ion mass spectroscopy.

ALD is realized at 25 °C using 300 mTorr exposures of borazine and converting adsorbed borazine into a-BN using a N2/Ar plasma operating 100 MHz and 400 W power. Lower exposure pressures at the same Torr-s exposure result in undersaturation of the adsorbed layer and lower growth per cycle. Films are slightly N-rich and essentially carbon-free (0-2% carbon) with B:N compositions averaging B0.46N0.54.SiO2/(Si001) pretreatment with a H2/Ar plasma is required to create reactive sites for film nucleation on the native oxide. This talk will address the role of different plasma conditions on borazine adsorption and transformation into a-BN.

View Supplemental Document (pdf)
11:30 AM AF2-TuM-15 Time-Resolved Study of OH Radicals During PEALD of Al2O3 by Advanced Laser Spectroscopy
Antoine Salden (TU / Eindhoven); Matteo Ceppelli, Luca Matteo Martini (University of Trento); Richard Engeln, Harm Knoops, Erwin Kessels (TU / Eindhoven)

Radicals play an important role in PE-ALD due to their highly reactive nature, meaning that understanding their role in reaction mechanisms is crucial for subsequent process optimization. However, their interaction with the surface can be challenging to investigate due to their short lifetimes, given their reactive nature. While excited states can be readily detected by optical emission spectroscopy (OES), the radicals in the ground state — generally the bulk of radicals — are invisible to this technique. Furthermore, OES provides line-of-sight integrated measurements that make it arduous to decouple processes in the surface–environment from those in the plasma bulk.

To overcome aforementioned challenges, this study implements in situ active laser spectroscopy, in the form of laser induced fluorescence (LIF), to investigate the ground state of the OH radical during PE-ALD of Al₂O₃ using Al(CH₃)₃ and O₂ plasma. By resonantly exciting ground state OH with a laser, the observed induced fluorescence from the A2Σ+,v=0,1 manifold is a measure of the ground state density. Owing to the high spatiotemporal resolution of the technique, we were able to measure the time-resolved, relative density of the ground state at different distances from the substrate surface, during the plasma step of the process. At the start of the plasma step, we observe a brief high density of OH in the plasma, which is caused by surface reaction products diffusing away from the surface. Close to the surface, however, in the plasma (pre)sheath, OH formation by electron impact is inhibited.
Not only does this cause a decrease in density, it also changes the evolution in time of the density: OH close to the surface appears to originate from diffusion from the plasma.
These trends are strikingly more intricate than the evolution that is observed by OES for characteristic emissions associated with surface reaction products — such as OH and CO from methyl-group combustion — as those signals are dominated by contributions from the plasma bulk. While different, the results between LIF and OES appear compatible with each other when accounting for diffusive transport. All in all, these results demonstrate the deeper insights gained from well-localized diagnostics, capable of probing the radical ground state with high time resolution, to further investigate the environment at the plasma–surface boundary during PE-ALD.

View Supplemental Document (pdf)
11:45 AM AF2-TuM-16 Engineering of Vox Properties Through Control of Plasma Characteristics During Plasma-Enhanced Atomic Layer Deposition
Peter Litwin (U.S. Naval Research Laboratory); Marc Currie, Neeraj Nepal, Maria Gabriela Sales, David Boris, Scott Walton, Virginia Wheeler (US Naval Research Laboratory)

Crystalline VO2 (c-VO2) undergoes a phase transformation between two crystalline states at ≈ 68 °C, which is accompanied by a metal-to-insulator transition (MIT). Below the critical transition temperature (Tc), c-VO2 exists in the monoclinic crystal structure and is a semiconductor with a band gap of ~0.7 eV that is transparent to infrared light. Above the transition temperature c-VO2 exists in a tetragonal crystal structure and behaves as a metal that is reflective to infrared light. The MIT in c-VO2 is of interest for numerous applications such as passive thermal regulation (e.g. energy efficient windows), thermal sensors, and passive radio frequency components.

VO2 films deposited by traditional (thermal) atomic layer deposition (ALD) processes are amorphous (a-VO2) and thus do not exhibit a MIT. While the a-VO2 films can be crystallized by a post-deposition annealing step, this limits the application space of ALD VO2 due to thermal budget constraints. Plasma-enhanced ALD (PEALD) has been shown as a route to deposit crystalline materials by offering increased kinetics without the use of high substrate temperatures. This is made possible by the simultaneous delivery of a flux of both energetic and reactive plasma species to the growth surface. However, the enhanced reactivity of oxidizing-plasma sources poses challenges not present in thermal ALD processes. For example, control of the V oxidation state in thermal ALD is often dictated by the oxidation state of the V in the metal-organic precursor used during growth. For that reason, a V4+ oxidation state precursor is commonly used to promote the deposition of VO2 in thermal ALD. Conversely, the strong oxidation characteristics of oxidizing­-plasma sources used in PEALD typically result in the growth of V2O5, i.e., V5+, regardless of the metal-organic precursor used.

Here we present studies investigating the role of O2-plasma characteristics on the stoichiometry and crystallinity of VOx thin films deposited by PEALD. A focal point of these studies is correlating plasma properties, including plasma power, Ar/O2 ratio, system pressure, and total gas flow during the plasma step with the control of the V4+/V5+ ratio and crystallinity, as probed by x-ray photoelectron spectroscopy and Raman spectroscopy. Initial results, based on a typical Ar/O2 plasma used for ALD Al2O3 films, show a mix of the V4+ and V5+ oxidation states with evidence for the crystalline forms of each. Further optimization will be discussed and, where applicable, hysteretic characteristics of the MIT in PEALD VO2 films are benchmarked against traditional annealed, thermal ALD films using optical transmittance.

Session Abstract Book
(292KB, Jun 24, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule