ALD/ALE 2024 Session AF-MoA: Precursors and Chemistry: Precursor Design, New Precursors, Process Development I

Monday, August 5, 2024 4:00 PM in Room Hall 3A
Monday Afternoon

Session Abstract Book
(336KB, Jun 24, 2024)
Time Period MoA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

Start Invited? Item
4:00 PM AF-MoA-11 ALD of Copper and Bismuth Using Pinacolborane as a Reducing Agent
Anton Vihervaara, Timo Hatanpää, Kenichiro Mizohata, Mikko Ritala (University of Helsinki)

High quality metal thin films are an essential material class in the development of new technologies. Copper is the foremost interconnect material in microelectronics and though there is incentive to replace it with other metals, copper will remain an important metal for interconnects for the immediate future. Bismuth on the contrary is less commonly used thin film material but possesses many intriguing characteristics. It has many potential applications suggested in the literature including semiconductor devices, superconductors, and anodes for batteries. These applications require high-quality thin films deposited uniformly over large areas and on 3D structures.

Atomic layer deposition (ALD) is the best method for the manufacturing of complex 3D structures. Several ALD processes for Cu metal already exist, but new ones are constantly sought out to overcome limitations of the existing ones. Bismuth on the other hand does not have such a range of existing ALD processes. As far as we are aware, only one process can be found in literature. [1]

In order to deposit very thin but also completely continuous films, it is important to keep the deposition temperature low. Typically, lower deposition temperatures lead to smaller grains and thus to smoother films. We study novel ALD precursors with a focus on reducing agents, since they are less explored than the metal precursors. To our knowledge pinacolborane has not been used in ALD experiments before, though it has been suggested as a potential reducing agent [2]. Now we investigated it in actual ALD experiments with metal alkoxides. The idea behind using the pinacolborane is that the favorable formation of the strong B-O bond would strip alkoxide ligands from metal precursors.

In our study, pinacolborane was combined with two metal alkoxides, Cu(dmap)2 and Bi(OCMe2iPr)3, to deposit the respective metals. Depositions were done at low temperatures of 80-130 °C for Cu and 90 °C for Bi. ALD characteristics were confirmed for the Cu deposition. At 100 °C growth rate of 0.12 Å/cycle was observed. Continuous film deposited at 100 °C had a resistivity of 4.2 µΩcm. Bismuth films were highly oriented and consisted of platelets parallel to the substrate surface. Both films contained only small amounts of impurities.

[1] Precursors and Processes for the Atomic Layer Deposition of Bismuth Metal Thin Films, Daniel Beh, Wayne State University; Z. Devereaux, T. Knisley, Applied Materials; C. Winter, Wayne State University, presented at the 23rd International Conference on Atomic Layer Deposition, Bellevue, July, 2023.
[2] Winter, C. H., Knisley, T. J. US Pat. Appl. Publ. US 2015/0167158 A1.

View Supplemental Document (pdf)
4:15 PM AF-MoA-12 Ligand Optimization of Volatile Cobalt-Alkoxide ALD Precursor
Atsushi Sakurai, Atsushi Yamashita, Tomoharu Yoshino, Yoshiki Ooe, Keisuke Takeda, Masaki Enzu, Masako Hatase, Akihiro Nishida (ADEKA CORPORATION)

Co thin films have been applied for interconnect applications on many electronic devices to support Cu-based interconnects or to replace Cu itself with device miniaturization. It is extrapolated that the conventional CVD precursor, CCTBA: Co2(CO)6(tBuC≡CH), could continue to assist in interconnect fabrication. Due to the poor reported thermal stability and area selectivity of CCTBA, however, there has been a great deal of effort to identify an improved Co precursor [1]. For example, Co-diazabutadiene is an attractive precursor to realize area selective Co film growth with thermal ALD processing [2]. CoCl2(tmeda) [3], Co-amidinato [4], Co-cyclopentadienide [5] and Co-diketonato [6] were also investigated for specific process requirements. Despite significant development work on Cu-alkoxide precursors, Co-alkoxide precursors remain less documented in the literature [7].

This presentation will share the basic chemistry of Co-alkoxides and how to obtain high vapor pressure, which is a critical property for ALD film growth. In comparison with Cu-alkoxides, high vapor pressure Co-alkoxides are not so easy to obtain. After many kinds of aminoalcohol ligands were investigated, monomeric SCBA-1: Co[OCH(tBu)CH2N(Et)(Me)]2 was found to have the optimal vapor pressure (Figs.1 & 2).

ALD Co films grown with SCBA-1 will be also presented. Plasma-enhanced ALD (PEALD) was better to obtain pure Co metal films with low resistivity, though thermal ALD might be preferred for some applications. For future improvement, a strong co-reactant will be necessary for thermal ALD process using SCBA-1.

Reference: [1] ALD2018,S.Ivanov, [2] ALD2017, M.Kerrigan, [3] ALD2018, K.Väyrynen, [4] ALD2019, A.Nishida, [5] ALD2020, G.V.Straaten, [6] ALD2021, N.M.K.Linn, [7] ALD2012, T.J.Knisley

View Supplemental Document (pdf)
4:30 PM AF-MoA-13 Expanding the tert-Butylimido Framework Beyond Molybdenum: New Refractory Metals and Ligands
Kieran Lawford (Carleton University); Michael Land (Dalhousie University); Eden Goodwin (Carleton University); Katherine Robertson (St. Mary's University); Seán Barry (Carleton University)

Refractory metals (Nb, Ta, Mo, W, Rh; sometimes V, Cr) and their alloys can be found in a variety of applications ranging from dry lubricants, rocket nozzles, surgical equipment, and as protective layers applied to cutting tools to improve cutting and reduces tool wear.1 We have previously shown great success with incorporating tert-butylimido ligand frameworks into volatile molybdenum deposition precursors,2 so we decided to explore the analogous Cr, W, V, Cr, Nb, and Ta compounds.3 We prepared (tBuN)2MCl2·dad (M = Cr, Mo, W, dad = 1,4-di-tert-butyl-1,3-diazabutadiene) by addition of dad to coordinatively unsaturated (tBuN)2MCl2 compounds.3 The ancillary dad ligand was chosen because it has previously been shown to yield a volatile and thermally stable scaffold in the Mo analogue.2 Following an analogous protocol, the mono imido compounds, (tBuN)MCl3·dad (M = V, Nb, Ta), were also prepared.3

These compounds were fully characterized using common spectroscopic techniques including multinuclear NMR, FT-IR, and single-crystal X-ray diffraction.3 The volatility of these compounds was measured using thermogravimetric analysis (TGA) and the V, Mo,2 and W compounds exhibited good volatilities, with onsets of evaporation between 55 ºC and 135 ºC.3 We also studied their thermal stabilities by differential scanning calorimetry (DSC) and observed they all undergo decomposition between 154 ºC and 189 ºC, suggesting similar decomposition pathways3.

EI-HRMS suggests that these compounds decompose with their M=N bond intact, so we decided to explore these compounds as vapour phase precursors of the corresponding metal nitrides. Preliminary deposition experiments showing that these precursors can be used for the single source CVD of MNxfilms.3 This presentation will further discuss preliminary ALD process development for the V, Mo, and tungsten compounds for the ALD of metal-nitride films on a homemade ALD tool.

  1. Pierson, H. O. The Chemical Vapor Deposition (CVD) of Refractory Metal Carbides. High Temp. Mater. Process. 1993, 11, 239-246.
  2. Land, M. A.; Bačić, G.; Robertson, K. N.; Barry, S. T. Thermal Stability and Decomposition Pathways in Volatile Molybdenum(VI) Bis-imides. Inorg. Chem. 2022, 61(12), 4980–4994.
  3. Lawford, K.; Land, M. A.; Goodwin, E.; Robertson, K. N.; Barry, S. T. Synthesis, Characterization, and Single-Crystal X-ray Structures of Refractory Metal Compounds as Precursors for the Single-Source Chemical Vapor Deposition of Metal Nitrides. Inorg. Chem.2023, 62(51), 21061–21073.
4:45 PM AF-MoA-14 Alkoxide Complexes as Precursors for Coinage Metal and Main Group Element Thermal ALD
David Emslie (Department of Chemistry); Majeda Al Hareri, Nick Hoffman (McMaster University)

Thermal ALD methods have been developed to deposit thin films of a wide range of materials. Methods to deposit metal oxides and metal nitrides are now well established, often involving reactions with O2, O3, H2O or NH3.1 However, deposition of elemental metals2-4 (or semimetals) can be particularly challenging, because it typically requires reduction of an element in a positive oxidation state in a precursor complex to the zero oxidation state in the metal (or semimetal) film. This reduction reactivity becomes increasingly challenging for more electropositive elements, and in ALD the choice of reductant (the co-reactant) is limited by the requirement for both the co-reactant and the reaction byproducts to be volatile and thermally stable. Furthermore, suitable choices of reducing co-reactant will depend strongly on the element to be deposited, the oxidation state of the element in the precursor complex, and the ligands in the precursor complex.

This presentation will describe the development of novel thermal ALD methods to deposit coinage metal and main group elements using alkoxide precursors in combination with pinacolborane (HBpin). The envisioned chemistry involves exchange of hydride and alkoxide groups between the precursor and HBpin, where the driving force for film deposition is the formation of very strong B–O bonds, combined with eventual H2 elimination. This work also includes the development of new coinage metal precursors, and a study of their volatility, thermal stability, and reactivity.

References

(1) Miikkulainen, V.; Leskelä, M.; Ritala, M.; Puurunen, R. L. Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends, J. Appl. Phys. 2013, 113, 021301.

(2) Emslie, D. J. H.; Chadha, P.; Price, J. S. Metal ALD and pulsed CVD: Fundamental reactions and links with solution chemistry, Coord. Chem. Rev. 2013, 257, 3282-3296.

(3) Knisley, T. J.; Kalutarage, L. C.; Winter, C. H. Precursors and chemistry for the atomic layer deposition of metallic first row transition metal films, Coord. Chem. Rev. 2013, 257, 3222-3231.

(4) Hagen, D. J.; Pemble, M. E.; Karppinen, M. Atomic layer deposition of metals: Precursors and film growth, Appl. Phys. Rev. 2019, 6, 041309.

5:00 PM AF-MoA-15 Fluorinated Silver Alkoxides as Precursors for Atomic Layer Deposition
Nick A. Hoffman, David J. H. Emslie (McMaster University)

Elemental silver thin films are particularly desirable for photonic, electronic, catalytic, and biological applications.[i] Previously reported methods for thermal Ag ALD are scarce and suffer from poor film morphology.[ii] Additionally, the low thermal stability of Ag(I) precursors often necessitates delivery via direct liquid injection, adding complexities to reactor design.[iii] Herein we present the synthesis and characterization of a series of new fluorinated silver alkoxide complexes, an assessment of their thermal stability and volatility, and their application as precursors for thermal ALD of silver metal.

The fluorinated silver alkoxide complexes, [Ag{OC(CF3)3}(PR3)]z, are readily synthesized following a simple and scalable one-pot procedure. These compounds can be sublimed in vacuo, and thermogravimetric analysis (TGA) was conducted to evaluate their thermal properties. Solution-state reactions with various co-reactants yielded silver metal, suggesting a high degree of suitability towards novel thermal ALD processes. The precursor [Ag{OC(CF3)3}(PiPr3)]2 was selected as the most promising candidate for ALD, and was used to develop a new method for Ag thermal ALD.

References:
[i] Abbas, N.; Shad, M. R.; Hussain, M.; Muhammad, S.; Mehdi, Z.; Sajjad, U. Fabrication and characterization of silver thin films using physical vapor deposition, and the investigation of annealing effects on their structures. Mater. Res. Express 2019, 6, 116437.
[ii] See, for example:(a) Chalker, P. R.; Romani, S.; Marshall, P. A.; Rosseinsky, M. J.; Rushworth, S.; Williams, P. A. Liquid injection atomic layer deposition of silver nanoparticles. Nanotechnology 2010, 21, 405602; (b) Masango, S. S.; Peng, L.; Marks, L. D.; Van Duyne, R. P.; Stair, P. C. Nucleation and Growth of Silver Nanoparticles by AB and ABC-Type Atomic Layer Deposition. J. Phys. Chem. C 2014, 118, 17655-17661; (c) Mäkelä, M.; Hatanpää, T.; Mizohata, K.; Meinander, K.; Niinistö, J.; Räisänen, J.; Ritala, M.; Leskelä, M. Studies on thermal atomic layer deposition of silver thin films. Chem. Mater. 2017, 29, 2040–2045; (d) Golrokhi, Z.; Marshall, P. A.; Romani, S.; Rushworth, S.; Chalker, P. R.; Potter, R. J. The influence of tertiary butyl hydrazine as a co-reactant on the atomic layer deposition of silver. Appl. Surf. Sci. 2017, 399, 123-131.
[iii] Golrokhi, Z.; Chalker, S.; Sutcliffe, C. J.; Potter, R. J. Self-limiting atomic layer deposition of conformal nanostructured silver films. Appl. Surf. Sci. 2016, 364, 789-797.

5:15 PM AF-MoA-16 Atomic Layer Deposition of Niobium Carbonitride Thin Films
Paloma Ruiz Kärkkäinen, Timo Hatanpää, Kenichiro Mizohata, Matti Putkonen, Mikko Ritala (University of Helsinki)

While the development of transition metal carbide (TMC) and, particularly, transition metal carbonitride ALD is still in its early stages, transition metal nitrides (TMNs) have numerous well-established processes. The high melting points, outstanding catalytic properties, chemical inertness, and tunable work functions of TMNs and TMCs offer great advantages over metal films while retaining excellent conductivity. The attractive properties of TMCs and TMNs arise from their unique structures, which combines ionic, covalent, and metallic bonding. Their current and future applications include energy storage, quantum computing, low-cost catalysis, and in semiconductor device contacts, barrier-free interconnect materials and barriers themselves. Furthermore, they exhibit continuity at low film thicknesses, which remains a challenge for metal ALD.

In this work, we present for the first time ALD of NbCxNy films. Only a few metal carbonitride materials, such as WCxNy, TiCxNy, and TaCxNy, have previously been deposited with ALD.1 The ALD of NbCx is still relatively unexplored with only one process for an amorphous film.2 We deposited NbCxNy films with NbF5 and 1,4-bis(trimethylsilyl)-1,4-dihydropyrazine [(Me3Si)2DHP] at 200–450 °C. The films are crystalline as-deposited and the growth rate saturates to ~1.2 Å/cycle with respect to both precursors at 425 °C. The films show uniform resistivity (~150 µΩcm) across the 5 x 5 cm2 substrates. We demonstrate process compatibility on a wide range of substrates, such as Si, TiN, and Mo.

TMCs and TMNs both consist of metal lattices with either C or N in the interstitial sites. Consequently, distinguishing carbide and nitride phases from carbonitrides with common characterization methods such as XRD and XPS is an extremely difficult task.3 Aside from the challenge of determining which carbide and nitride phases the films consist of, the most demanding aspect is differentiating whether the films consist of separate NbCx and NbNy phases or NbCxNy. We will address the characterization challenges of carbonitrides by conducting extensive analysis with methods such as XRD, XPS, Raman, and ToF-ERDA. We will discuss tuning the properties, such as resistivity and morphology, as well as explore the superconductivity of the films to assess their potential for future applications.

(1) Database of ALD processes. DOI: 10.6100/alddatabase. www.atomiclimits.com/alddatabase (accessed 2024-01-30).

(2) Klug, J. A. et al. Journal of Physical Chemistry C 2011, 115 (50), 25063–25071.

(3) Ruiz Kärkkäinen, P. et al. Atomic Layer Deposition of Molybdenum Carbide Thin Films. Manuscript submitted.

View Supplemental Document (pdf)
5:30 PM AF-MoA-17 Using ALD Precursors as Inhibitors During Area-selective ALD
Marc Merkx, Pengmei Yu, Sjoerd van der Werf, Arthur de Jong, Erwin Kessels (Eindhoven University of Technology); Tania Sandoval (Universidad Tecnica Federico Santa Maria); Adriaan Mackus (Eindhoven University of Technology)

In the pursuit to develop area-selective ALD processes with a high selectivity, the main question that needs to be answered is how to effectively block the adsorption of ALD precursors on the areas where deposition is not desired. One of the most studied instances of precursor blocking is self-limiting precursor adsorption during ALD, i.e., precursor adsorption is blocked when the surface is saturated by precursor adsorbates. To exploit this knowledge, the field of area-selective deposition is exploring the use of plasma-assisted ALD precursors (i.e. precursors that do not deposit material when exposed to a thermal co-reactant) as inhibitors for selective thermal ALD processes.[1,2] However, it can be expected that not all ALD precursors block precursor adsorption equally well. By studying the blocking mechanisms of ALD precursors against other precursor chemistries, important insight can be gained into how to design or select effective inhibitor molecules for area-selective ALD. In this contribution, we systematically study the blocking of ALD precursor adsorption by another ALD precursor molecule for a range of different precursor chemistries as a model system for precursor blocking.

To study the blocking efficiency of ALD precursors, a three step (i.e. ABC-type) ALD cycle was employed. During steps A and B, precursor 1 and precursor 2 are sequentially dosed, such that precursor 2 should be largely blocked by precursor 1. Finally, in step C, O2 plasma is used as the co-reactant to remove all precursor ligands from the surface. The fraction of material that is deposited by precursor 2 in the resulting film was measured by x-ray photoelectron spectroscopy (XPS) and used as a metric for how effective precursor 1 can block precursor 2. Precursor blocking was studied for bis(diethylamino)silane (BDEAS), tetrakis(dimethylamino)titanium (TDMAT), tert-butylimidotris(dimethylamino)tantalum (TBTDMT), tris(dimethylamino)cyclopentadienylhafnium (HyALD), and trimethylaluminum (TMA). In general, it was observed that precursors that were effective at blocking precursor adsorption as precursor 1 were also difficult to be blocked when used as precursor 2, and vice versa. In addition, it was found that a CHx termination is preferred over alkylamino termination for effective precursor blocking. In this contribution, the role that packing, reactivity, and ligand chemistry play in precursor blocking will be discussed.

[1] Soethoudt et al., J. Phys. Chem. C124, 7163 (2020)

[2] Nguyen et al., Nat. Commun.13, 7597 (2022)

View Supplemental Document (pdf)
Session Abstract Book
(336KB, Jun 24, 2024)
Time Period MoA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule