ALD2023 Session AM-WeM: Manufacturing

Wednesday, July 26, 2023 8:00 AM in Regency Ballroom A-C

Wednesday Morning

Session Abstract Book
(298KB, Jul 29, 2023)
Time Period WeM Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2023 Schedule

Start Invited? Item
8:00 AM AM-WeM-1 Atomic Layer Technologies for III-V Nitride Epitaxy, High-K/Metal Gate, Ferroelectric Negative Capacitance, and Area-Selective Deposition
Miin-Jang Chen, Chun-Yi Chou, Teng-Jan Chang, Wei-Hao Lee (National Taiwan University)

We report the recent progress from conventional atomic layer deposition (ALD) toward a variety of atomic layer technologies, such as atomic layer annealing, crystallization, densification, epitaxy, etching, etc. The topics in this presentation include (1) atomic layer annealing (ALA) for atomic layer epitaxy (ALEp) of GaN and AlN at a low deposition temperature of only 300oC, (2) Atomic layer tailoring for the realization of sub-10 nm, wake-up free ferroelectric Hf0.5Zr0.5O2 thin films with high remnant polarization and low thermal budget, (3) transient negative capacitance in ferroelectric capacitors, (4) atomic layer crystallization and densification induced by substrate biasing for the enhancement of ferroelectric and dielectric properties, (5) ALA for high-K/metal gate, including the improvements in dielectric constant, leakage current, reliability, and the modulation of work function, and (6) atomic layer nucleation engineering (ALNE) for inhibitor-free area-selective ALD with high selectivity. The results demonstrate the promising potential of atomic layer technologies for the precise engineering and fabrication of nanoscale materials and devices.

8:30 AM AM-WeM-3 Optimizing Vessel Design for Pulsed Delivery of Solid Precursors
James Maslar, Vladimir Khromchenko, Berc Kalanyan (National Institute of Standards and Technology (NIST))
Solid precursors are widely employed in ALD processes and are often delivered to a deposition surface by entraining the precursor vapor in a carrier gas. Unfortunately, it can be difficult to reproducibly deliver solid precursors in this manner. This difficulty is often due to an inability to maintain carrier gas saturation in the precursor vessel head space, resulting in less than the maximum precursor amount being delivered. While this situation may not be an issue for an ideal ALD process (unless the total precursor dose is insufficient to saturate all surface reactive sites), this situation could negatively impact a non-ideal ALD process. The degree of carrier gas saturation depends on numerous factors including vessel design, precursor physicochemical properties, carrier gas flow rate, pressure, and idle time. (For many ALD processes, at least one precursor is idled – there is no flow from the precursor vessel - during a deposition cycle.) The goal of this work is to characterize the performance of different vessel designs for pulsed delivery of solid precursors and to identify relationships between vessel design aspects, precursor properties, and gas flow conditions that can be used to maximize the amount and reproducibility of precursor delivered. Computational fluid dynamics (CFD) were employed to simulate mass carryover for a range of vessel designs and conditions. For select cases, CFD mass carryover values were benchmarked to values measured using optical gas analyzers. The results of this investigation should improve the understanding of the relationships between vessel design, precursor properties, and gas flow conditions, thereby permitting a more informed selection of precursor vessel design for pulsed delivery of a particular precursor within a particular process parameter range. View Supplemental Document (pdf)
8:45 AM AM-WeM-4 Accurate Precursor Dose Delivery with Realtime Closed Loop Control
Jim Ye, Josh Ding, Guy Rosenzweig (MKS Instruments, Inc.)

Atomic Layer Deposition (ALD) and Atomic Layer Etching (ALE) processes are the key technologies which are enabling the use of new materials and three-dimensional designs in advanced chip manufacturing. The ALD and ALE processes become a vital factor in the applications of self-aligned patterning, 3D NAND and FinFet. Consistent precursor delivery is required for generating a stable and homogenous deposition. Unstable deposition will cause defects and create wafer to wafer and batch to batch variations. A consistent precursor dose delivery also further improves process throughput and cuts the waste of precursors by reducing overdosing.

The technical challenge of achieving precursor delivery consistency comes from two aspects: 1) The capability of measuring the precursor concentration in the delivery line in real time; 2) The capability of quickly adapting the precursor pulse shape to control the delivered dose at the pulse level.

MKS Instruments is researching and testing a device that integrates a precursor concentration sensing module and a precursor pulsing module. The device is expected to tolerate up to 200°C to accommodate most of the precursor delivery processes. The precursor concentration sensing module measures the concentration out of the source in real time and feeds the readings to the pulsing module immediately downstream. The pulsing module adjusts the delivery rate instantaneously based on the concentration and the amount of precursor has been delivered, such that the precursor dose delivered in each pulse is constant.

9:00 AM AM-WeM-5 Fast and Efficient Large Format ALD
Dane Lindblad, Matthew Weimer, Arrelaine Damerson, James Ragonesi (Forge Nano); Ofer Snef (Sundew Technologies)

Manufacturing of large format objects, such as solar absorbers, optics, electrolizers, and sensors, can benefit from a multitude of ALD applications, from conformal protective coatings to device-enabling films. However, largeformat ALD has struggled to maintain a foothold in manufacturing for various reasons. Simply increasing the reactor volume and precursor delivery amount does not solve the problem, instead, it tends to exacerbate the limitations. Low precursor efficiency and slow cycle times in these large volume chambers can make the application of ALD financially nonviable in all but the most price insensitive applications. Additionally, thickness uniformity can suffer on such a large scale to compensate for increasing precursor costs. To improve the field of large format ALD, Forge Nano has technology that can deposit uniform films, in thickness and composition, over a scalable area. Our standard wafer ALD reactor is designed for a 200mm diameter deposition area, yet we have demonstrated that, through the judicious placement of individual precursor dosing valve stacks (Figure 1), one can easily scale to a 525mm diameter, or approximately 7 times larger deposition area (Figure 2). Standard processes for Al2O3, TiO2, and SiO2 have been demonstrated at 125°C to have short cycle times and reasonable uniformity, less than 5% full range thickness, across the entire 525mm diameter area. A full comparison of qualified processes, between the 200 and 525mm diameter tools, will be discussed in detail, with specific examples shown in Table 1. This effective scaling has been accomplished with a unique chamber design and custom, proprietary, ALD fast-pneumatic valves (FPV). These valves can be constructed into sets of continuous precursor delivery stacks and are capable of actuating at sub-1ms speeds in environments up to 200°C. Coupled with a unique chamber design, these FPVs enable low precursor consumption and enable short purge times. This work demonstrates that increasing the number of delivery points, using our proprietary valves and chamber design, will allow for the scaling of large-format ALD at production capable speeds while maintaining efficient use of precursor.

View Supplemental Document (pdf)
9:15 AM AM-WeM-6 Mechatronic Spatial Atomic Layer Deposition for Closed-Loop Process Control
Daniel Penley, Tae H. Cho, Andre Brooks, Lauren Ranshoff, Hyunwoo Park, Ellis Herman, Orlando Trejo, Kira Barton, Neil P. Dasgupta (University of Michigan, Ann Arbor)

Close-proximity atmospheric-pressure spatial atomic layer deposition (AP-SALD) holds promise to address the large-scale manufacturing needs of interfacial engineering at the nanoscale. A variety of system designs have been demonstrated and, notably, this technique has been industrialized for batch passivation of solar cells. Typically, close-proximity AP-SALD systems have fixed geometric parameters such as the gap size and relative alignment between the depositor head and substrate. While many systems have been designed to alter these parameters manually, there are few examples where digitally-controlled sensors and actuators are used to actively monitor and adjust geometric process parameters in real time. Therefore, there is limited scientific understanding of the importance of tolerances to these adjustable process parameters.

In this study, we describe a customized AP-SALD system that enables mechatronic control of key process parameters. A showerhead depositor design delivers precursors to the substrate surface while linear actuators and capacitance probes maintain gap size and relative alignment through multiple-axis tilt and closed-loop feedback. Two precision motorized stages control the substrate velocity and positioning, and independent control of gas flow rates and pressure is facilitated by a fluid control system. Digital control of process variables with active monitoring is facilitated with a software control package. We demonstrate and validate the system by performing AP-SALD of TiO2. We probe the dependence of film quality and uniformity on gap size variance, relative alignment tolerances, and two-axis printing, with results supported by finite-element analysis. In the future, this mechatronic design will enable experimental tuning of parameters which can inform multi-physics modeling to gain a deeper understanding of AP-SALD process tolerances, pushing this technology towards manufacturing at the large scale.

9:30 AM AM-WeM-7 Spatial Atomic Layer Deposition: A New Revolution in Ultra-Fast Production of Conformal Optical Coatings
John Rönn, Sauli Virtanen, Philipp Maydannik, Kalle Niiranen, Sami Sneck (Beneq)

Since its invention in 1974, atomic layer deposition (ALD) has shown tremendous performance in depositing thin film structures for various applications in physical, chemical, biological, and medical sciences. Due to the unique layer-by-layer growth mechanism of ALD, thin films with exceptional uniformity, conformality and quality can be deposited not only on planar substrates, but also on the most complicated surfaces. In optical systems, these properties, often absent in traditional physical or chemical vapor deposition techniques, are of utmost importance when it comes to depositing thin films on complex geometries, such as integrated waveguides, highly curved lenses, or micro lens arrays. However, this comes at a price; traditional ALD suffers from relatively low deposition rates (<30 nm/h), which has greatly limited ALD’s application in many optical systems where thin films with thicknesses comparable to the wavelength of light are often required.

In this work, we present a new-generation ALD technology that revolutionizes the production of conformal optical coatings: the rotary spatial ALD. In rotary spatial ALD, the substrate is rotated across successive process zones to achieve ultra-fast and high-precision thin film deposition. We present our latest results obtained with our novel C2R plasma-enhanced rotary spatial ALD system, including the fabrication of SiO2, Ta2O5 and Al2O3 with deposition rates reaching >1 µm/h. We also show that these materials exhibit low surface roughness (<1 Å RMS), low optical loss (<10 ppm @ 1064 nm) and excellent non-uniformity (<2% over 200 mm), ultimately paving the way for ALD to breakthrough in the optics industry once and for all.

9:45 AM AM-WeM-8 Spatial ALD of Iridium Oxide Electro-Catalyst Layers for PEM Electrolysis
Corné Frijters (SparkNano); Jie Shen, Mahmoud Ameen (TNO/Holst Center); Jamie Greer, Nicolas Blasco (Air Liquide Advanced Materials); Paul Poodt (SparkNano)

Proton Exchange Membrane Water Electrolysis (PEMWE) is a commonly used technique to produce green hydrogen from water. A massive up-scaling of PEMWE installations is required in the coming decade to keep up with the foreseen demand for green hydrogen. State-of-the-art PEM electrolyzers make use of iridium-based electro-catalyst layers with iridium loadings of 1-2 mg/cm2. The high cost and limited availability of iridium will limit the scalability of PEMWE if the iridium loading cannot be reduced.

Atomic Layer Deposition can be used to apply thin and highly conformal IrO2 films on porous substrates with atomic-scale control of the amount of material that is deposited. When applied to PEM electrolyzers, this can be used to significantly reduce the loading of IrO2. Recently, IrO2 catalyst layers for PEM electrolyzers applied by Spatial ALD with iridium loadings well below 0.1 mg/cm2 have been reported [1]

We will present plasma enhanced Spatial ALD of iridium oxide and metallic iridium films using a new iridium precursor developed by Air Liquide Advanced Materials. The electro-catalytic efficiency and stability has been characterized using RDE, showing that IrO2 films of just a few nanometer demonstrate an excellent catalytic activity and stability. IrO2 films have been conformally deposited on high surface area titanium porous transport layers (PTL’s) as well as Nafion membranes. First demonstrations on full PEM stack scale show that Spatial ALD can enable iridium loads 10-100x lower than the state of the art while demonstrating an excellent stability in accelerated stress tests.

We will also discuss how Spatial ALD of IrO2 films can be up-scaled to mass production, with emphasis on efficiency or precursor utilization and precursor recovery for recycling.

[1]: https://www.tno.nl/en/newsroom/2022/10/breakthrough-electrolyser-development/

10:00 AM Break & Exhibits
Session Abstract Book
(298KB, Jul 29, 2023)
Time Period WeM Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2023 Schedule