ALD/ALE 2022 Session PS-TuM1: Plenary Session II

Tuesday, June 28, 2022 8:30 AM in Room Auditorium

Tuesday Morning

Session Abstract Book
(272KB, May 7, 2022)
Time Period TuM1 Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

Start Invited? Item
8:30 AM PS-TuM1-1 Welcome, Introductions and Sponsor Thank Yous
Erwin Kessels (Eindhoven University of Technology); Harm Knoops (Oxford Instruments Plasma Technology); Jean-Francois de Marneffe (IMEC, Belgium)
Welcome to ALD/ALE 2022! We hope you are enjoying the meeting!
8:45 AM PS-TuM1-2 Plenary Lecture: Atomic Layer Etching: Real World Utilization and Future Outlook
Angélique Raley (Tokyo Electron America, Inc.); Honda Masanobu (Tokyo Electron Miyagi, Ltd.); Mochiki Hiromasa (Tokyo Electron Miyagi Ltd.); Tetsuya Nishizuka (Tokyo Electron Miyagi, Ltd.); Paul Abel, Julie Bannister (Tokyo Electron America, Inc.); Peter Biolsi (TEL Technology Center, America, LLC); Alok Ranjan, Omid Zandi (Tokyo Electron America, Inc.); Kal Subhadeep (TEL Technology Center, America, LLC); Trace Hurd, Qi Wang, Christopher Netzband, Sergey Voronin, Sitaram Arkalgud (Tokyo Electron America, Inc.)

In recent years, device miniaturization and challenges in integration of semiconductor devices has led to an increased demand for ultra-high selectivity and atomic level control for both etch and deposition techniques. While ALD was first developed and widely adopted in semiconductor manufacturing, its ALE counterpart has made significant strides and has been explored for many critical applications ranging from self-aligned gate contact[1], to advanced patterning[2,3] and back end of line etching [4] . Commercial plasma based ALE systems have been leveraged starting at the 10nm logic node but the low throughput of true ALE processes has limited a broader adoption of the technology.

In this talk we review the historic expansion and implementation of ALE technology in advanced process nodes and contrast it with the latest technological advances in pulsed plasma. We also discuss the benefits and synergy of integrating ALD technologies with plasma etch to improve profile control and enable more degrees of freedom in process optimization. Finally we cover the increased variety of ALE process needs and opportunities for innovations as we continue to move into 3D integration constructs and new technology spaces.

[1] M. Honda and T. Katsunuma, "Etch challenges and evolutions for atomic-order control," 2016 IEEE 16th International Conference on Nanotechnology (IEEE-NANO), 2016, pp. 448-451, doi: 10.1109/NANO.2016.7751325

[2] Masanobu Honda et al. “Novel etch technologies utilizing atomic layer process for advanced patterning,” Proc. SPIE 11329, Advanced Etch Technology for Nanopatterning IX, 1132905 (23 March 2020); https://doi.org/10.1117/12.2555805

[3] Sophie Thibaut et al. "EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch", Proc. SPIE 10589, Advanced Etch Technology for Nanopatterning VII, 105890M (17 April 2018); https://doi.org/10.1117/12.2300355

[4] Katie M. Lutker-Lee et al., "Low-k dielectric etch challenges at the 7 nm logic node and beyond: Continuous-wave versus quasiatomic layer plasma etching performance review", Journal of Vacuum Science & Technology A 37, 011001 (2019) https://doi.org/10.1116/1.5079410

9:30 AM PS-TuM1-5 ALD 2021 Innovator Awardee Talk: Up, Down and All Around: Controlling Atomic Placement in ALD
Stacey Bent (Stanford University)
With the increased importance of functional nanoscale materials for applications such as electronics, catalysts, and batteries, the interest in atomic layer deposition (ALD) as a method for fabricating structures with atomic-level control is intensifying. Based on sequential, self-limiting gas-surface reactions, ALD provides excellent capabilities for depositing thin solid films, nanoparticles, and other nanoscale materials while offering exceptional conformality, thickness control at the angstrom level, and tunable film composition. Yet despite the powerful film growth capabilities already attained with ALD, there is a compelling need to push the technique even further and control atomic placement not just in the direction of film growth, but also laterally. In this talk, I will describe research into the fundamental processes that drive ALD and ways to manipulate the chemistry to achieve area selective deposition, a bottom-up growth strategy in which deposition is directed only to desired regions of a patterned substrate. Mechanistically, the reaction chemistry of both the ALD precursor and the co-reactant at the growth surface play important roles in the ALD process and can influence both nucleation and growth. Importantly, by modifying the substrate properties, e.g., by the application of molecular monolayers or other surface treatments, the native reactivity of the surface with the ALD precursors can be altered to allow for area selective ALD. I will describe how area selectivity can be achieved across a range of different substrate and film materials, and also highlight challenges and potential solutions to reaching even high selectivities than currently possible.
10:00 AM PS-TuM1-7 ALE Student Award Finalist Talk: Direct Integration of HfO2 ALD and Surface Selective ALE for Controlled HfO2 Film Growth
Landon Keller, Seung Keun Song, Gregory Parsons (North Carolina State University)

Hafnium oxide (HfO2) thin films are highly desirable as dielectric materials in transistors and DRAM capacitors in the semiconductor industry due to their high dielectric constant. As device feature size continues to decrease with transition to complex 3D architectures, precise and isotropic methods of depositing and etching materials are needed beyond conventional deposition processes. Despite the growing demand for HfO2 in nanoscale, complex devices, only few studies report compatible HfO2 ALD and ALE processes suitable for modern applications.

In this work, we report an integrated HfO2 ALD/ALE process using a novel etch system for HfO2. ALD is performed using TDMAH and H2O, while ALE is performed using WF6 and BCl3. The growth and etching rates were determined using an in-situ quartz crystal microbalance (QCM). Additionally, the integrated HfO2 ALD and ALE processes can be supercycled to enhance growth on one surface while suppressing growth on another surface as a means of selective deposition. We evaluated HfO2 ALD and ALE on various surfaces, including hydroxyl-terminated Si (Si-OH), hydrogen terminated Si (Si-H), thermal silicon dioxide (SiO2), Ru with native oxide (RuOx), Co with native oxide (CoOx), and low-k material (SiCOH). The growth on each surface was studied using ex-situ spectroscopic ellipsometry and ex-situ x-ray photoelectron spectroscopy.

Previously, our group reported an integrated supercycling ALD/ALE process for controlled TiO2 film growth on SiO2 while suppressing growth on Si-H due to an ALD nucleation delay on Si-H.1 This work focuses on an integrated supercycling ALD/ALE process for HfO2 at 275°C, but utilizes surface selective ALE. Figure 1 shows QCM mass uptake of integrated HfO2 ALD and ALE conducted at 275°C, demonstrating 0.11 nm/cycle ALD growth rate and 0.10 nm/cycle ALE etch rate. While the ALD growth rate is consistent on all surfaces studied here, the ALE etch rate is surface dependent. ALD/ALE supercycles were conducted on the aforementioned substrates. Figure 2 shows the HfO2 film thickness on each starting substrate as a function of the number of supercycles. XPS confirms HfO2 selective deposition on CoOx and Si-H vs Si-OH and SiO2.

These results demonstrate a novel system for HfO2 ALE as well as HfO2 selective deposition through integrated ALD/ALE. We believe these findings provide valuable insight into selective deposition processes using surface selective ALE for bottom-up nanofabrication.

  1. Song, S. K.; Saare, H.; Parsons, G. N. Chem. Mater. 2019, 31 (13), 4793–4804.
View Supplemental Document (pdf)
10:15 AM PS-TuM1-8 ALE Student Award Finalist Talk: First-principles Insight into Non-equilibrium Chemistry in PEALE of Silicon Nitride with Hydrofluorocarbons
Erik Cheng, Gyeong Hwang (University of Texas at Austin); Peter Ventzek, Zhiying Chen, Shyam Sridhar, Alok Ranjan (Tokyo Electron America)

Low energy ion bombardment is a key component of plasma enhanced atomic layer etch (PEALE) which may induce surface reactions unlikely to occur under thermal conditions, moving such systems far from equilibrium. Due to short occurrence times and complexities, such non-equilibrium processes cannot readily be monitored and characterized by experimental techniques alone. They are also difficult to simulate using typical computational methods, as an accurate description of these highly disordered chemical systems requires relatively large system sizes with high chemical accuracy. The inherent randomness of ion bombardment effects at the atomic level further complicates matters, demanding a statistical approach to their study. Thus, a full understanding of the non-equilibrium processes in PEALE has remained elusive.

In this talk, we present a novel molecular dynamics approach based on the self-consistent-charge density-functional tight-binding (SCC-DFTB) method and its use to uncover the underlying mechanisms of PEALE of silicon nitride (SiN) with hydrofluorocarbons (HFCs). While different HFCs have been found to exhibit distinctly different ALE behaviors, a comparison study between two key HFCs, CF4 and CH3F, is discussed as an example. Even on a highly damaged SiN surface, both CF4 and CH3F are found to mostly remain physisorbed instead of reacting with the surface, which contradicts popular belief. Under ion bombardment, adsorbed CF4 and CH3F are predicted to mainly decompose into :CF2 + 2F- and CH3+ + F-, respectively. Our simulations clearly show the critical role of F in SiN ALE; this talk will cover detailed reaction pathways and energetics. This also suggests that the relative doubling of F production from CF4 decomposition can be responsible for the doubling of etch rate, compared to the case of CH3F, as reported in experimental studies. We also find that CF2 can be stable enough to be volatile during PEALE, potentially yielding nontrivial precursor loss. However, the presence of a lone pair in CF2 can also allow for attacks on electron deficient sites on the SiN surface. Products of such reactions can donate more F to the surface with further bombardment. CH3 fragments, in contrast, tend to be much more reactive with the surface, be less volatile, and can act as H donors upon further bombardment. Our work highlights the importance of accurately describing the formation and reaction dynamics of key intermediates associated with HFC precursor decomposition during the non-equilibrium PEALE process. The improved understanding provides fundamental insights into process optimization and precursor design required for next-generation device fabrication.
10:30 AM Break & Exhibits
Session Abstract Book
(272KB, May 7, 2022)
Time Period TuM1 Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule