ALD/ALE 2022 Session AS-TuP: Area Selective ALD Poster Session

Tuesday, June 28, 2022 5:45 PM in Room Arteveldeforum & Pedro de Gante

Tuesday Afternoon

Session Abstract Book
(312KB, May 7, 2022)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

AS-TuP-1 Thermally Assisted Area Selective Atomic Layer Deposition
Bart de Braaf (TU / Eindhoven)

In our recent published work, we explore the possibility of achieving area selective ALD by applying temperature gradients on the substrate [1]. In this approach, the majority of the substrate is kept at a low temperature, which suppresses the surface chemical reaction, while a small area is heated to allow the reaction to locally proceed. Controlling the size and the position of the heating spot on the substrate allows for 'writing' on the surface, with potential applications in the bottom-up fabrication of electronic devices like solar panels and OLED displays. We study the feasibility and window of opportunity of this technique by computational modelling. We first model the control of the temperature by various illumination protocols, and then model and simulate the nucleation and growth of spatially localized spots, as well as lines, of deposited material given an inhomogeneous temperature profile. We show that the temperature profile can direct substrate deposition and control the connectivity and size of the pattern deposited on the substrate.

A practical example of this technique is the ALD process of Si2H6, where instead of a co-reactant the elevated temperature induced by the laser itself is used to remove the ligands [2]. For this process, it is reported that surface diffusion of deposited molecules also influences growth of the spot that is formed on the substrate. I will present new results from a model that includes this surface diffusion, and study its effect on the deposition on the substrate both at constant temperature and for the case where locally the substrate is heated by a laser. Our model suggest that the absorption rate goes up with increasing diffusivity at constant temperature.

Bibliography

[1] B. de Braaf, C. R. (2021). Modeling the initial monolayer formation in thermally localized surface deposition. J. Vac. Sci. Technol. B.

[2] Y. Suda, M. I. (1996). Ar+-laser-assisted subatomic-layer epitaxy of Si. Journal of Crystal Growth, 672-680.

AS-TuP-2 An Approach to the Prevention of Chemical Deterioration of Surfaces During Ex-Situ Patterning Steps
Bernhard van der Wel, Tom Aarnink, Alexey Kovalgin, Kees van der Zouw (University of Twente, the Netherlands)
Atomic layer deposition (ALD), enabled by sequential self-limiting vapor-solid reactions, is a well-known technique to provide thin films with high conformality, large area uniformity and excellent film thickness control. Applying area-selective ALD (ASALD) allows for reduction of the amount of lithography and critical alignment steps during film patterning for device fabrication [1].
In this work, we propose a novel method for ex-situ thin film patterning, preventing possible chemical deterioration of the surfaces during patterning by chemicals and/or exposure to a reactive chemical ambient. This may be crucial to minimize the effect of undesired interaction of the surface with oxidants, etchants, etc. Preventing or re-establishing required chemical terminations (i.e., functional chemical groups) of the surface is needed to successfully enable a subsequent ASALD process.

After deposition of the material of interest on a substrate, it is capped in-situ (in-vacuo) by amorphous silicon (a-Si) using trisilane (Si3H8), protecting the film surface from subsequent possible chemical interactions. The protecting a-Si and underlying layer can be patterned ex-situ, without directly exposing the underlying film to a chemically reactive environment. This allows to prevent the surface of the underlying film from chemical modifications (e.g. by oxidation in the ambient or changing chemical termination of the surface by etching). After stripping the native oxide from the a-Si using hydrogen fluoride (1% HF), the patterned substrate is brought back to the reactor. Next, the substrate is exposed to atomic hydrogen (at-H), generated by letting H2 interact with a heated tungsten filament, removing the silicon by forming volatile SiH4 [2]. This opens up the initial film with the originally-present chemical terminations, favoring area-selective deposition by the next in-situ ALD step. A schematic overview of the designed process is given Figure 1, see supplemental document.

[1] A. J. M. Mackus, M. J. M. Merkx, and W. M. M. Kessels, “From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity,” Chem. Mater., vol. 31, no. 1, pp. 2–12, 2019.
[2] H. N. Wanka and M. B. Schubert, “High silicon etch rates by hot filament generated atomic hydrogen,” J. Phys. D. Appl. Phys., vol. 30, no. 8, pp. L28–L31, Apr. 1997.
View Supplemental Document (pdf)
AS-TuP-3 Surface Dependence and Selectivity During Atomic Layer Deposition of Ge2Sb2Te5
Jyoti Sinha, Leonidas Gallis, Jan-Willem Clerix (KU Leuven, IMEC Belgium ); Laura Nyns (IMEC Belgium ); Annelies Delabie (KU Leuven, IMEC Belgium )
The complex device architecture for Phase change Random Access Memory (PCRAM) has garnered attention towards Atomic Layer Deposition (ALD) for conformal or selective deposition. Ge2Sb2Te5 is one of the promising phase change materials which has been used in PCRAM devices. Ge2Sb2Te5 devices use either W or TiN as bottom electrode and SiO2 or SiN as isolating material for confining heat within the cell [1]. The development of selective deposition processes for such device structures benefits from insight in the growth behaviour of Ge2Sb2Te5 ALD. In this work, we therefore investigate the substrate dependence and selectivity of Ge2Sb2Te5 ALD where TiN and SiO2 were selected as substrates. GeCl2. C4H8O2, SbCl3 and ((CH3)3Si)2Te have been used as precursors to deposit Ge2Sb2Te5 by alternating GeTe and Sb2Te3 subcycles. The growth-per-cycle of Ge2Sb2Te5 ALD is 0.36 nm/cycle. Rutherford Backscattering Spectrometry (RBS) confirmed that Ge2Sb2Te5 layers of ~20 nm has the 2-2-5 composition. We observe linear ALD growth behaviour on both TiN and SiO2 substrates, indicative of fast film formation. Further, both substrates were treated with dimethylamino-trimethylsilane (DMA-TMS) to alter the surface properties for evaluating the selectivity of Ge2Sb2Te5 [2]. The DMA-TMS treatment on TiN shows minor effect on the surface composition and Ge2Sb2Te5 ALD growth behaviour. In contrast, the DMA-TMS treatment on SiO2 substantially inhibits the growth of Ge2Sb2Te5 (figure 1) and no nanoparticles are observed using scanning electron microscopy (SEM) till 64 cycles, while a Ge2Sb2Te5 layer of ~20 nm is obtained on DMA-TMS treated TiN. For higher number of cycles, nanoparticle analysis on DMA-TMS treated SiO2 indicates that growth of Ge2Sb2Te5 follows particle migration and coalescence (figure 2). Thus, the modified surface properties due to chemical treatment provides the selectivity of Ge2Sb2Te5 towards SiO2. This is confirmed by a demonstration of 20 nm of Ge2Sb2Te5 ASD in nanoscale SiO2/TiN line-space patterns. View Supplemental Document (pdf)
AS-TuP-4 In-situ Surface Cleaning and Area Selective Deposition of SiOxNy film on Cu patterns using Anhydrous N2H4
Su Min Hwang, Jin-Hyun Kim, Dan Le, Yong Chan Jung, Kui Tan, Jean-Francois Veyan (University of Texas at Dallas); Daniel Alvarez, Jeff Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas)

Area-selective atomic layer deposition (AS-ALD) has been considered as a prominent technique due to the escalating demands for eliminating the edge placement errors with current top-down approaches in semiconductor processing at the sub-5 nm node.1–3. Recently, it has been reported that anhydrous hydrazine (N2H4) can be employed as the reduction of the Cu oxide to metallic Cu surface.3 By employing the high reactivity of hydrazine, under the ALD environment, the metallic surface condition can be maintained, or the oxidation/reduction of the Cu surface can be repeated under the ALD environment. Eventually, area selective deposition of dielectric material (e.g., ALD-SiOx on Si, SiNx, TiN, AlOx, substrates) can be achieved, whereas nucleation delay and limiting surface oxidation on Cu sample can occur.Additionally, a detailed change of Cu condition with precursor exposures will be studied using in-situ surface analysis.

In this study, the consecutive surface cleaning and AS-ALD of SiO2 process was demonstrated. To identify the substrate dependence on ALD selectivity, Cu, Si, SiNx, TiN, and AlOx substrates were loaded in the ALD chamber at the same time. Prior to the ASD process, the samples were pretreated with N2H4 at 200 ℃. After that, the ABC-type ALD-SiO2 was performed. In the Si precursor half-cycle, the tris(dimethylamino)silane (step A), was exposed for 0.2 s, followed by a precursor trapping time for 120 s and purging time of 180 s. In the oxygen reactant half-cycle, the O3/O2gas mixture (step B), was introduced for 0.2 s and captured for 120 seconds, followed by purging the chamber with a continuous flow of N2 carrier gas for 180 seconds. After the ALD-SiOx cycle, an additional of surface recovery step with N2H4 (step C) was introduced. With five supercycle ALD-SiOx processes, growth of SiO2 on both bare Si and SiNxsubstrates, formation of metal-silicates (and/or SiOx) on TiNx and AlOx suggest that the supercycle-based ALD-SiOxprocess does not impact the growth of SiOx on top of dielectric substrates. On the other hand, the deposited amount of SiOx on Cu substrate is approximately 35% less than the AB-typed ALD-SiOx process. Despite slight detection of SiOx on Cu (non-growth) substrate, the feasibility of the ASD process with repeating surface oxidation and reduction was demonstrated. The detailed experimental results will be presented.

We thank Rasirc Inc. for funding this project and providing the Brute N2H4.

1 P. Kapur, et al., IEEE Trans. Electron Devices,49, 590 (2002).

2 M.F.J. Vos et al., J. Phys. Chem. C,122, 22519 (2018).

3 S.M. Hwang, et al., ECS Trans. 92, 265 (2019).

View Supplemental Document (pdf)
AS-TuP-5 Inherently Area-Selective Atomic Layer Deposition of SiO2 through Chemoselective Adsorption of an Aminodisilane Precursor on Oxide versus Nitride Substrates
Jeong-Min Lee, Jinseon Lee (Hanyang University); Hongjun Oh, Bonggeun Shong (Hongik University); Tae Joo Park, Woo-Hee Kim (Hanyang University)
Area-selective atomic layer deposition (AS-ALD) offers complementary bottom-up patterning with atomic-level accuracy on pre-defined areas in conjunction with conventional top-down patterning, so it has attracted tremendous interest for enablement of multi-dimensional nanostructures toward sub-10 nm scale technology. In this work, we report a methodology for achieving inherently selective deposition of high-quality oxide thin films through chemoselective adsorption of an aminodisilane precursor, 1,2-bis(diisopropylamino)disilane (BDIPADS), on oxide versus nitride substrates. Density functional theory (DFT) calculations show higher reactivity for adsorption of BDIPADS on OH-terminated SiO2 compared with NH2-terminated SiN surfaces, indicating selective growth of SiO2 films in the SiO2 area. Applying BDIPADS precursor to both SiO2 and SiN substrates results in inherent deposition selectivity of ~1 nm even without the use of inhibitory molecules such as self-assembled monolayers. Using this inherent selectivity as a starting point, we further enhance deposition selectivity using combined ALD-etching supercycle strategies in which HF-wet etching step is periodically inserted after 20 cycles of ALD SiO2, leading to an enlarged deposition selectivity of approximately 5 nm after repeated ALD-etching supercycles. This approach can be envisaged to provide a practically applicable strategy toward highly selective deposition using inherent AS-ALD that can be incorporated into upcoming 3D bottom-up nanofabrication.
AS-TuP-6 Organothiol Inhibitor Instigated Area Selective Deposition of HfO2
Summal Zoha, Bonwook Gu (Incheon National University); Fabian Pieck, Ralf Tonner (Universität Leipzig); Han-Bo-Ram Lee (Incheon National University)

With continuous progress in the field of nanofabrication and nanotechnology, the semiconductor industry has greatly flourished. However, efforts for further reduction in feature sizes of electronic interconnects in search of better and fancier devices, are still ongoing. The struggle to search for better area selective deposition (ASD) processes has led researchers to manipulate deposition surfaces using different passivation tools. In this regard, surface inhibitors have gained a lot of attention. In this study, an organothiol inhibitor has been utilized for ASD on metal, oxide, and nitride surfaces, Cu, SiO2, and TiN, respectively. The inhibitor selectively adsorbs on the Cu and SiO2 surfaces at 400 ºC, while the TiN surface remains unaffected after exposure to the inhibitor. Upon high-temperature exposure, the organothiol inhibitor is capable of decomposing to assist the adsorption of its different parts on the Cu and SiO2 substrates, thereby simultaneously inhibiting two surfaces through a single inhibitor. The inhibited substrates were examined for adsorption and inhibition using surface analysis tools including water contact angle (WCA) measurements, X-ray photoelectron spectroscopy (XPS), etc. Blocking results revealed promising blocking potential against HfO2 ALD on Cu compared to SiO2, whereas the TiN surface did not exhibit any blocking at all. Furthermore, the surface chemistry and reactivity have been explained by theoretical calculation using the Monte Carlo method and density functional theory.

Session Abstract Book
(312KB, May 7, 2022)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule