ALD/ALE 2021 Session AS3: Inherently Selective Processes

On Demand

Session Abstract Book
(257KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

AS3-1 Impact of Precursor Structure on the Initial Growth Trends of Atomic Layer Deposited Al2O3 Films on Chemical Oxide and Hf-last Silicon
Holger Saare, Gregory Parsons (North Carolina State University)

Aluminum oxide thin films are utilized in numerous applications, such as gate oxides, heat sinks, barrier materials, and more. Atomic layer deposition (ALD) of Al2O3 using trimethylaluminum (TMA) as a precursor is one of the most extensively studied ALD processes, owing to its high vapor pressure, high reactivity, and self-terminating reactions. However, for area-selective deposition (ASD) applications, such as next-generation nanopatterning, this reactivity leads to poor selectivity, as TMA rapidly reacts with most surfaces. Thus, alternative precursors for the selective deposition of Al2O3, which result in selectivity between different surfaces while maintaining high film quality, need to be considered.

In this work we compare initial growth trends of Al2O3 ALD on hydrogen-terminated Si (Si-H) vs hydroxyl-terminated Si (Si-OH) surfaces using three different Al precursors and H2O as the oxygen source. Triethylaluminum (TEA), dimethylaluminum chloride (DMAC), and TMA are chosen as the Al precursors due to comparable variations between their structures. This enables us to determine the effects that alkyl ligand length and the presence of chloride groups have on the growth selectivity. The growth trends are studied in the temperature range of 150-250 °C and characterized using in-situ spectroscopic ellipsometry (SE) and in-situ Auger electron spectroscopy (AES).

Measured thickness evolution exhibits similar behavior for all three precursors as shown in Figure 1. On the Si-OH starting surface, the first cycle shows an accelerated growth rate due to higher precursor uptake and then proceeds in a steady-state manner characteristic to ALD. The resulting growth rates are 0.13, 0.11, and 0.10 nm/cycle for TMA, TEA, and DMAC, respectively. Meanwhile, the growth on the Si-H surface exhibits a delay due to the lack of hydroxyl groups. The growth rate accelerates as more nuclei are deposited and eventually reaches steady-state growth rate as the islands coalesce into a uniform film. While TMA results in the highest growth rates, it leads to the lowest selectivity throughout the cycles as shown in Figure 2. The highest selectivity is achieved using TEA, owing to low rate of nucleation on the Si-H surface. Chemical analysis using AES confirms the growth trends present and shows that the steady-state growth rate is decreased due to -CH3 groups present on the surface.

These results provide vital insight into the importance of precursor selection for area-selective ALD applications and open the pathway to realizing selective Al2O3 deposition based on inherent substrate selectivity.

View Supplemental Document (pdf)
AS3-2 Inherently Area-Selective Atomic Layer Deposition for High-K Dielectrics by Catalytic Local Activation
Jeong-Min Lee, Hyo-Bae Kim, Ji-Hoon Ahn, Woo-Hee Kim (Hanyang University, Korea)
In modern technologies, area-selective atomic layer deposition (AS-ALD) which allows for precise pattern placement with nanoscale dimensions through an additive approach has attracting tremendous interests as a solution to implement the bottom-up nanopatterning for the future semiconductor fabrication. More recently, inherent AS-ALD, which exhibits substrate-dependent deposition selectivity without the use of surface-deactivating molecules, can be expected to offer enormous advantages over conventional inhibitor-assisted AS-ALD in terms of more simplified process and avoiding inhibitor-associated contamination issues. Therefore, we report a methodology for achieving inherently selective deposition of high-k dielectric thin films by catalytic local activation on noble metal substrate. In this work, we demonstrate that AS-ALD of Hf1-xZrxO2 films can be achieved by chemo-selective adsorption of precursors which arises from catalytic dissociation of a coreactant molecules on noble metal surfaces like Pt and Ru. For this purpose, O2 gas was utilized as a mildly oxidizing coreactant and tris(dimethylamido)hafnium/zirconium-cyclopentadienide (CpHfZr) precursors which require strong oxidizing agents were used to deposit selective Hf1-xZrxO2 thin films. Finally, we successfully achieved inherent selectivity greater than ~5 nm on both blanket- and Si/Pt- patterned substrates. This approach for achieving inherent selectivity expands the potential utility of bottom-up nanopatterning processes for next-generation nanoelectric applications.
AS3-3 Integration of Two Atomic Layer Depositions in a Sequence for Area Selective Deposition of Two Materials
Seung Keun Song, Jung-Sik Kim, Gregory Parsons (North Carolina State University)

The semiconductor industry is now entering the new era of ~3 nm patterning in electronic device manufacturing, leading to many challenges in high volume manufacturing. To achieve satisfactory quality in nanoscale device features, in addition to extreme UV (EUV) lithography, “bottom-up” growth of thin films through area selective deposition (ASD) is becoming a key technique in the manufacturing flow.

ASD deposits a thin film of material on a target region of a substrate while maintaining clean surfaces on adjacent, non-growth regions by translating chemical surface information. ASD methodologies have been demonstrated in roughly two ways, namely 1) locally pre-modifying the substrate to passivate or activate one region or/and 2) integrating etch-back/passivation steps in deposition cycles to suppress unfavorable growth. Many ASDs have focused on selectively depositing one material on one target surface. However, in this talk, we report ASD of two materials (TiO2 and W) on two different regions (SiO2 and Si-H) of one substrate by integrating two ASDs into one process sequence.

Previously, our group reported an ALD/ALE process for TiO2 ASD on SiO2 vs Si-H. We also reported W ASD on Si-H vs SiO2 using W ALD (SiH4/WF6), which is reverse selectivity compared to the TiO2 ASD. Herein we examine two scenarios for the integration of two ASD processes (TiO2 ASD and W ASD) to achieve ASD of two materials without significant interference, which are W 1st (W ASD + TiO2 ASD) and TiO2 1st (TiO2 ASD + W ASD). (Fig. 1) After careful analysis of in situ quartz crystal microbalance (QCM) for two scenarios, TiO2 1st case is confirmed as a feasible integration sequence for ASD of TiO2 and W on SiO2 and Si-H, respectively. After the integrated sequence of TiO2 ALD/ALE and W ALD, ~5 nm of TiO2 and ~7 nm of W thin films are confirmed by TEM. Furthermore, we also find that intermediate treatment such as HF dip between ASDs helps W growth on Si-H by regenerating hydrogen terminations.

Beyond the demonstration of selective deposition, these results also explain deposition difference on non-patterned vs patterned substrate after the integrated ALD sequence. We believe these findings give an important insight into integrating two or more selective processes including ALD, ALE, CVD, and CVE for true bottom-up nanofabrication.

View Supplemental Document (pdf)
AS3-4 Substrate Dependent Absorption of Volatile Antimony Pentachloride during Vapor Phase Poly(3,4-ethlenedioxythiophene) Polymerization
JUNGSIK KIM, Gregory Parsons (North Carolina State University)

Bottom-up processing has drawn attention in the field of nanoelectronics due to its capability to produce transistors with feature size less than 5 nm. Based on inherent chemical selectivity on different surfaces, area-selective deposition (ASD) is the primary technique to obtain bottom-up 3D patterning. Although many studies regarding ASD of inorganic materials have been addressed, there are few studies on ASD of polymers. Selective polymer deposition could play an important role in nucleation inhibitors/initiators, blocking masks, and air-gap applications. We have previously reported ASD of poly(3,4-ethylenedioxythiophene) (PEDOT) by o-MLD using a volatile liquid SbCl5 oxidant and 3,4-ethylenedioxythiophene monomer (EDOT).[1] During the o-MLD process, PEDOT showed selective growth on thermally grown silicon dioxide (SiO2) vs hydrogen-terminated silicon (Si-H).

In this work, the mechanism of PEDOT ASD on SiO2 and Si-H was studied. Compared to SiO2 substrates, PEDOT showed a nucleation delay on Si-H surfaces. We believe that the growth inhibition on Si-H is due to the SbCl5 reaction with Si-H surfaces. As shown in Figure 1, thermodynamic calculation shows that the SbCl5 oxidant is readily reacted with Si, whereas no reaction is observed on SiO2 surfaces. To clearly understand the SbCl5 dose effects on PEDOT selectivity, different SbCl5 exposure conditions were systematically investigated. Using the oMLD process, we demonstrated ~20 nm of PEDOT ASD on SiO2/Si-H patterned substrates as shown in Figure 2.

These results suggest that minimizing SbCl5 exposure is a key factor into enhancing PEDOT selectivity. In this regard, a fast net growth rate by the CVD process was employed to improve the selectivity. By decreasing the SbCl5 exposure time with the fast growth rate, unfavorable nucleation on Si-H was effectively controlled, leading to better PEDOT ASD compared to MLD. An analytical nucleation model was implemented to quantify ASD between MLD and CVD processes. These studies give an important insight into developing conjugated polymer ASD and play a pivotal role in improving the ASDs.

View Supplemental Document (pdf)
AS3-7 Inherent Selective CVD of Amorphous HfO2/TiO2 Nanolaminate for Nanoscale Patterning
Yunil Cho, James Huang (University of California at San Diego); Christopher Ahles (University of California San Diego); Keith Wong, Srinivas Nemani, Ellie Yeah (Applied Materials); Andrew Kummel (University of California at San Diego)

Nanoscale patterning is one of the key interests in the semiconductor industry. For nanoscale patterning applications, inherently selective deposition methods (no passivants) are being studied to use in conjunction with double patterning techniques as shown in Fig. 1. This chemically based patterning is needed in backend fabrication when multiple metals and low k dielectric layers such as SiCOH (hydrophobic porous carbonized silica) are employed. For selective deposition in backend fabrication, water-free deposition is desirable since it induces higher selectivity [1] and prevents damage of metals and SiCOH.

Previously, the inherent selective CVD of TiO2 was studied on Si, SiO2 and SiCOH. Around 17 nm and 40 nm of TiO2were deposited on Si and SiO2, respectively, with less than 0.1 nm on SiCOH. However, due to nano-crystallization, the films had rough surfaces (Fig. 2.) which has to be reduced for nanoscale patterning applications. By forming nanolaminate structures of two different oxides, this crystallization can be surpressed[2,3]. In the present study, sequential pulsed CVD at 300°C sample temperature using titanium isopropoxide and hafnium tert-butoxide to form an amorphous HfO2/TiO2 nanolaminate film was studied.

Due to inherent (passivation-free) surface reactivity difference of each precursor, HfO2/TiO2 nanolaminate films were selectively deposited on Si and SiO2 in preference to SiCOH. By controlling HfO2 and TiO2 sublayer thickness and the Hf:Ti ratio, amorphous and selective deposition of the HfO2/TiO2 nanolaminate film could be achieved simultaneously. Thick films were selective deposited: ~20 nm of amorphous HfO2/TiO2 nanolaminate was selectively deposited on Si and SiO2 in preference to SiCOH (<0.1 nm) with an RMS roughness <1 nm as shown in Fig. 3.

To check for nanoscale patterning application, selective deposition of the HfO2/TiO2 nanolaminate film was tested on a Cu/SiCOH nm scale patterned sample. TEM imaging (Fig. 4) demonstrates that the HfO2/TiO2 nanolaminate film can be selectively deposited only on Cu surface even for nanometer scale features.

This study demonstrated high selective oxide deposition by inherent reactivity difference of precursor mediated chemisorption on the nm scale. For nanolaminate structures with 1-2 nm sublayer thickness, crystallization can be suppressed which make this process suitable for patterning. View Supplemental Document (pdf)
AS3-10 Optimization of Substrate-Selective Atomic Layer Deposition of Zirconia on Different Forms of Copper Using Ethanol as Precursor Reactant and Surface Pre-Treatment
Soumya Saha (University of Illinois at Chicago); Nicholas Anderson (Intel Corporation); Gregory Jursich, Christos Takoudis (University of Illinois at Chicago)

Copper is currently the material of choice for making interconnects in semiconductor devices and zirconia, having a high dielectric constant, could replace silicon dioxide as the gate dielectric material in the near future. Atomic layer deposition (ALD) has emerged as the ‘bottom-up’ technique due to its capability of depositing uniform ultra-thin films and potential for area selectivity. In this study, zirconium dioxide was selectively deposited on silicon and not on copper for at least up to 100 ALD cycles using tris(dimethylamino)cyclopentadienyl zirconium as the zirconium precursor and ethanol as the precursor reactant in a custom made ALD system (Patent #10214817). Typically, copper is electroplated and then chemical mechanical polished. Here, both electroplated (EP) and electroplated copper with chemical mechanical polishing (CMP) were used for this study and subtle difference in surface oxides on EP and CMP copper and the choice of reactant influenced selectivity of deposition. Under suitable ALD processing deposition was inherently selective on EP copper and could be achieved on CMP copper only after optimizing the deposition process parameters. ZrO2, selectively deposited via ALD, was characterized using spectral ellipsometry, X-ray photoelectron spectroscopy and extended X-ray absorption fine structure spectroscopy.

Session Abstract Book
(257KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule