ALD/ALE 2021 Session AF6: Growth and Characterization: Plasma Enhanced ALD

On Demand

Session Abstract Book
(357KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

AF6-1 Plasma-Enhanced Atomic Layer Deposition: Correlating O2 Plasma Parameters and Species to Blister Formation and Conformal Film Growth
Andreas Werbrouck, Kevin Van De Kerckhove, Jolien Dendooven, Christophe Detavernier (Ghent University)

Plasma-enhanced ALD is now an essential part of the nanomanufacturing toolbox. Lots of processes have been reported and are used in production. Nevertheless, what happens in the plasma often remains a black box. Hence, it would be useful to have a basic insight in how plasma parameters affect the properties of the plasma and the resulting depositions. This way, partial pressure of active species can be increased, while possible damage to the films could be limited.

In this work, the effect of plasma parameters (power, pressure and flow) on the creation of plasma species was studied in a remote, inductively coupled oxygen plasma. In the plasma source region the O and O2+ production was characterized with optical emission spectroscopy (OES). In the remote region, near the substrate position we used an electrostatic quadrupole analyser (EQP) to measure energies and relative concentrations of O2+, O+, O2- and O- . Finally, a series of depositions with different plasma parameters was used to link these observations to growth and damage in actual ALD films.

An analysis of OES spectra (300-1000 nm) showed that a higher power linearly correlates with the concentration of created species. The O/O2+ ratio is determined by the flow and the power, and not so much by the pressure. The higher the flow, the higher the O/O2+ ratio. This is of relevance if we want to maximize the amount of radicals (for growth) or ions (for physically altering the film).

The EQP measurements at the substrate position show that the pressure is the determining factor for ions to reach the surface. The lower the pressure, the more ions can reach the surface. This may result in additional damage to the films. The influence of pressure on ions was dramatically illustrated with a series of depositions at different pressures with the gas coming from the side.

Finally, we deposited Al2O3 in lateral high aspect ratio structures with TMA and oxygen plasma at different powers and pulse times. The higher the partial pressure of reactive species near the entrance of the feature, the quicker the feature will be coated. We used a Markov chain simulation approach to quantify this. Simulated and fitted deposition profiles allowed us to estimate the partial pressure of active species in the plasma as a function of power and pressure. This analysis confirmed that higher plasma powers result in a higher partial pressure of reactive species.

With this research, we show that plasma parameters used in an PEALD process may strongly influence the growth and physical quality of the resulting film, especially on more challenging substrates.

View Supplemental Document (pdf)
AF6-2 Plasma-Enhanced ALD of TiN: Effect of the N-Source on the Growth and Quality of the Thin Films
Clémence Badie (CINaM ); Thomas Defforge, Gael Gautier (GREMAN ); Lionel Santinacci (CINaM)

The thermal ALD of TiN is well documented in literature. Various parameters of the process such as temperature or precursor pulse and purge durations have been deeply studied. The common precursor combination is TDMAT/NH3, for thermal and for plasma-enhanced ALD. The PE-ALD recipe found in the literature [1] exhibits a longer cycle duration associated to a slower GPC regarding to thermal ALD. This can be detrimental for industrial production. In addition to NH3, PE-ALD offers the possibility to use other N-sources: N2, H2 and N2/H2. The aim of this work is therefore to compare PE-ALD of TiN using two different N-sources to assess their effect on the final properties of the films and to shorten the deposition duration. The processes using NH3 and N2 combined with Ar are thus investigated in details and their growth rate is optimized. The influence of a set of parameters on the deposition process has been monitored by in situ characterizations (ellipsometry, OES) and the morphology, the composition, the crystalline structure and the electric properties of the films have been studied by ex situ techniques (TEM/SEM/AFM, XPS, DRX, 4-probes measurements).

To optimize the initial plasma-based recipe, the pulse and purge durations are investigated as well as additional parameters such as dilution of the N-sources within Ar and the plasma power. Those parameters are adjusted to limit the recombinations of the reactive species generated between the remote plasma source to the substrate. A large Ar dilution of both N2 and NH3 limits the film growth, a gas ratio of 1:1 for N-source and Ar flow is set to the optimal values. The pulse duration is shortened, 2 and 5 s for NH3- and N2-based plasmas, respectively. Saturation is assessed adjusting the purge duration optimum. The applied power (50 to 300 W) has no significant effect on the GPC with NH3 plasma while, for N2-based plasma, the GPC is maximum at the highest power. This is consistent with the expected low reactivity of N2 (inert without plasma activation) as compared to highly reactive NH3. Those results indicate that producing less active species facilitate their transport by limiting the recombinations. The films grown from both N-sources have a similar roughness, composition and morphology. However, the conductivity, conformality on high aspect ratio substrates and the growth rate are better using NH3-based plasma. The N2 plasma process exhibits an acceptable film quality and it should be considered as since it uses a non-harmful gas.

[1] L. Assaud et al. Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition, ECS J. Solid State Sci. Technol.,2014, 3, 7, 253–258.

View Supplemental Document (pdf)
AF6-3 Plasma Treatment to Tailor Growth and Photoelectric Performance of Plasma Enhanced Atomic Layer Deposition SnOx Transparent Conductive Thin Films
Liangge Xu (Harbin Institute of Technology)

Transparent conducting oxides (TCOs) are widely used materials with high optical transparency and electrical conductivity. SnO2 is an n-type semiconductor material with high carrier concentration and low resistivity. Currently, further development and application of tin-doped indium oxide is limited by the high cost and rarity of indium. Alternatively, Tin dioxide (SnO2), which is a low-cost, non-toxic, and abundant material, has been extensively studied for its optical and electrical properties, which are comparable to those of indium oxide. More recently, SnO2-based materials, such as ATO (Sb-doped SnO2) and FTO (F-doped SnO2), have attracted considerable attention in theoretical and experimental studies. Improved crystallinity by mild plasma bombardment of surface molecular structure is practical. Crystallization by plasma treatment is advantageous in that it can be implemented in-situ, does not need an elevated temperature, and reduces preparation time.Low ion density and nondestructive crystallization of films make remote plasma ALD a promising process for future use.

The performance of atomic layer deposition films is mainly limited by precursor residues, low crystallinity, and densities due to low deposition temperatures. Here, we used atomic layer deposition to deposit tin oxide thin films at a relatively low temperature of 250 °C. At this temperature, the change in the valence of Sn due to precursor residue is eliminated by layer-by-layer Ar plasma treatment, and the crystallinity of the films is improved. The effects of Ar plasma treatment power and Ar treatment time on the structural and optoelectronic properties were investigated. It was found that Ar plasma treatment time more significantly affects the surface morphology and the optical and electrical properties of the film. The film is transparent to both visible and near infrared light over a wide range of wavelengths from 400 nm to at least 5000 nm. The film resistivity can be as low as 1.117×103Ω·cm. The film has a relatively low level of residual stress due to the fact that there is no need to improve the crystallinity of the film by conventional high temperature annealing. On the basis of the high transparency and high conductivity of the SnOx films with layer by layer Ar plasma treatment in situ, the films can be applied as electromagnetic shielding windows for photodetectors.

AF6-4 Plasma-Induced Local Crystallization of ALD TiO2 Films
DOHYUN GO, JEONG WOO SHIN, JAEHYEONG LEE, JIHWAN AN (Seoul National University of Science and Technology)

Titanium oxide (TiO2) films get much attention in various fields because of their unique properties including high permittivity, chemical stability, non-toxicity, and low cost. Interestingly, crystallinity and phases affect the properties of TiO2 films. For instance, the dielectric constant of amorphous TiO2 is lower than 30, but anatase and rutile show a much higher dielectric constant (anatase: 30-75 and rutile: 90-170). Simultaneously, the grain boundary formation of crystalline TiO2 can also be an electron conduction path leading to high leakage. Atomic layer deposition (ALD) is known to form the thin-film at much lower temperature regime (<300℃) than chemical vapor deposition (CVD). Lowering the deposition temperature can increase the types of available substrates such as polymer and organic substrates, but may hamper the crystallization of thin-films. In this regard, additional processes are necessary for crystallizing thin-film and modifying the electrical/optical properties. Annealing (e.g., rapid thermal annealing (RTA)) and seed-layering (e.g., deposition on the crystalline seed) are representative methods. However, high process temperature (>500℃) and material selection are limits of those methods.

Recently, thin-film crystallization using plasma treatment has been actively researched in plasma-enhanced ALD (PEALD). Plasma-induced crystallization proceeds by ion bombardment of highly reactive ions and radicals inside the plasma and transferring kinetic energy through the thin-film surface. Plasma-induced crystallization can minimize thermal damage and be free of material selection. However, the relatively high oxidation power of plasma compared to the oxidant typically used in thermal ALD (e.g., H2O, O2) can develop the unwanted interfacial layer, which decreases the device performance, especially when the interface property between film and substrate is important.

In this study, we precisely modulate the film properties (physical, optical, and electrical) by controlling the crystallinity of TiO2 thin-film along the thickness direction. We stack the amorphous TiO2 and crystalline TiO2 with varying ratios (so-called vertical phase-gradient film). The thickness ratios of amorphous TiO2 and crystalline TiO2 are accurately adjusted using extremely-localized plasma-induced crystallization by per-cycle plasma exposure. The physical and optical properties are precisely modulated depending on the ratio. Furthermore, we figure out the optimal ratio of amorphous TiO2 and crystalline TiO2 for the high dielectric constant and low leakage current.

AF6-7 In-situ Photoemission Study on the Growth of Cobalt Nitride through PE-ALD
Matthew Snelgrove, Kyle Shiel, Enda McGlynn, Robert O'Connor (Dublin City University)

This work provides new insights into the nucleation and growth characteristics of thin cobalt nitride films deposited by plasma enhanced ALD. The material has applications in CMOS processing for promoting Cu interconnect adhesion and in renewable energy fields as a metallic electrocatalyst.1,2Cobalt Nitride, while successfully grown via PE-ALD using several Co-based precursors and co-reactant gases, has presented interesting results where the deposited film can contain large amounts of carbon impurities.3 Additionally, the effect of deposition temperature has been reported to have a drastic impact on the stoichiometry of the resulting films.4

By using an integrated XPS/ALD system, which allows for rapid photoemission analysis during an ALD process without the need to leave high vacuum, the growth characteristics of CoN using the precursor Cobaltocene (CoCP2) with ammonia plasma as co-reactant is studied without complication of the results by atmospheric carbon and oxygen contamination. We examine the impact of the temperature and plasma pulse duration on the film composition and show that rather than displaying saturation, longer plasma pulses severely inhibit the growth rate at all temperatures. The optimum plasma pulse duration for maximum growth per cycle is shown to reduce with increasing temperature. The in-situ approach combined with high-energy synchrotron-based photoemission studies of the resulting films enables understanding of the bulk properties without need for physical removal of matter by sputtering. Our results provide an insight into optimising PE-ALD processes for deposition of cobalt nitrides and strategies for minimizing carbon incorporation from the CoCP2 precursor ligands.

1 H. B. Bhandari, J. Yang, H. Kim, Y. Lin, R. G. Gordon, Q. M. Wang, J.-S. M. Lehn, H. Li and D. Shenai, ECS J. Solid State Sci. Technol., 2012, 1, N79–N84.

2 P. Chen, K. Xu, Y. Tong, X. Li, S. Tao, Z. Fang, W. Chu, X. Wu and C. Wu, Inorg. Chem. Front., 2016, 3, 236–242.

3 J. Reif, M. Knaut, S. Killge, F. Winkler, M. Albert and J. W. Bartha, J. Vac. Sci. Technol. A, 2020, 38, 012405.

4 G. Van Straaten, R. Deckers, M. F. J. Vos, W. M. M. Kessels and M. Creatore, J. Phys. Chem. C, 2020, 124, 22046–22054.

View Supplemental Document (pdf)
AF6-10 Atomic Layer Doping of β-Ga2O3 Films via Plasma Processing
Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, John Grasso, Brian G. Willis, Ali Kemal Okyay, Necmi Biyikli (University of Connecticut)

Gallium oxide (Ga2O3) is an emerging ultrawide-bandgap (UWBG) semiconductor attracting significant interest for high-power and high-frequency electronics that features comparable electrical properties (larger bandgap ~4.9 eV, high dielectric constant 6-8 MV/cm) to wide-bandgap GaN and SiC. However, growing device level high-quality (Ga2O3) films have been mainly possible using high-temperature requiring harsh environments (700 – 1000 °C), which substantially limit its application space. In this work, we report on the low-temperature deposition of β-Ga2O3 films on Si, sapphire, Kapton, and glass substrates coupled with in situ atomic layer doping using hollow-cathode plasma-enhanced ALD. The films were deposited using triethylgallium (TEG) and Ar/O2plasma as metal precursor and oxygen co-reactant, respectively, whereas tris-dimethylaminosilane (3DMAS) was utilized as the n-type dopant precursor. Growth experiments have been performed at 200 – 240 °C substrate temperatures and 50 W rf-power level. The doping process was carried out via both co-dosing (where the dopant is pulsed together with the metal precursor) and super-cycle (ABC-type ALD-cycle) methods. Additionally, each unit ALD-cycle was followed by an in-situ Ar-plasma annealing treatment, which consisted of Ar-plasma exposure for 20 seconds at 250 W rf-power. Both in-situ and ex-situ ellipsometry were employed to measure the thickness and optical properties of the films. The samples grown without Ar-plasma annealing step exhibited amorphous character with a growth-per-cycle (GPC) of 0.69 Å/cycle. However, with the incorporation of the in situ Ar-plasma annealing process crystalline films showing monoclinic β-Ga2O3 phase were achieved showing a GPC of ~1.04 Å/cycle. X-ray photoelectron spectroscopy (XPS) measurement of the β-Ga2O3 sample grown under optimal in situ plasma annealing power (250 W) revealed near-ideal film stoichiometry (O/Ga of ~1.44) with relatively low carbon content (~5% at.). Our results demonstrate the effectiveness of in situ Ar-plasma annealing process to transform amorphous wide bandgap oxide semiconductors into crystalline films without needing high-temperature post-deposition annealing treatment. Further outcomes from our ongoing atomic layer doping experiments featuring co-dosing and super-cycle techniques followed by additional structural, optical, and electrical characterizations will be discussed as well, which provide additional insight to overcome the challenges in achieving device quality β-Ga2O3 layers on low-temperature compatible substrates.

View Supplemental Document (pdf)
AF6-13 Electron Enhanced Atomic Layer Deposition of Aluminum Phosphide with Trimethylaluminum and Tritertbutylphosphine
SeongUk Yun, Andrew C. Kummel, Scott T. Ueda, Victor Wang, Cheng-Hsuan Kuo, Harshil Kashyap, Aaron J. Mcleod (University of California, San Diego, USA)

Aluminum phosphide (AlP) is an interesting wide bandgap III-V semiconductor with applications in optoelectronics, small lattice-mismatch regarding GaP/Si, and potential use as X-ray multi-layer mirror[1]. Most AlP films have been deposited using the chemical vapor deposition processes (CVD) with PH3. High-quality AlP films require the high partial pressure of PH3, which is highly toxic. Terada et al. investigated the 500°C CVD AlP with trimethylaluminum (TMA) and Tritertbutylphosphine (TBP), which is safer than PH3[2]. Gudovskikh et al. reported that nanocrystalline GaP was grown at 380°C using plasma-enhanced atomic layer deposition (PE-ALD) with trimethylgallium and PH3 in an H2 purge[3]. However, AlP deposition using ALD process has rarely been investigated yet since it is highly reactive toward moisture and difficult to crystallize.

The major challenge in TMA + TBP ALD process is the low reactivity of the TBP precursor. High process temperature (>500°C) and substrate atomic H bombardment have been shown to improve reactivity, but ALD of related compounds shows there may be alternatives. For example, Sprenger et al. reported that electron enhanced ALD (EE-ALD) improved the growth rate of GaN film at below 100 °C.

The low-temperature (≤ 400°C) ALD of AlP on III-V semiconductors was investigated using three techniques: thermal ALD, atomic layer annealing (ALA), and EE-ALD [4]. TMA and Tri(dimethylamido)aluminum(III) (TDMAA) were employed as Al precursor and TBP was employed as the phosphorus precursor. The films were characterized with in-situ Auger and ex-situ atomic force microscopy (AFM).

Table 1 summarized the atomic composition in the AlP films from in-situ Auger analysis of the AlP ALD and AlP ALA at 300°C with TDMAA and TMA, respectively. Si composition in AlP in ALA was lower compared to that in AlP ALD, suggesting improved AlP growth rate with ALA process. Figure 1 (a) showed that P/Al atomic ratio increased up to 0.8 in AlP EE-ALD process. This suggested AlP films with high P/Al=0.8 were prepared using EE-ALD with TBP precursor at 300°C. The atomic compositions of AlP EE-ALD process at different temperatures are shown in Figure 1 (b). Large size (≥ 15 nm) of islands of AlP film in Figure 2 (a) and low P/Al=0.5 indicated the formation of AlPOx nanoparticle of AlP ALD due to the insufficient nucleation of TBP at 210°C. AlP films at 300°C and 400°C showed high P/Al = 0.8. While Figure 2(b) showed the amorphous AlP film at 300°C, the high-quality (~P/Al=0.8) and nano-crystallized (RMS=0.9 nm) AlP films were successfully deposited by EE-ALA at low temperature (≤ 400°C) using TBP precursor. View Supplemental Document (pdf)
AF6-16 Tuning the Structural Properties of Low-Temperature Grown GaN Films via in Situ Ar-Plasma Annealing During Hollow-Cathode Atomic Layer Deposition
DEEPA SHUKLA, Necmi Biyikli (University of Connecticut)

Future energy efficient devices and will feature wide bandgap semiconductors allowing greater power efficiency, smaller size, lighter weight, lower overall cost. GaN among the other wide bandgap materials, has a large bandgap (3.4 eV) and when compared to the conventional electronics material of choice Si, the critical breakdown field is an order of magnitude higher and the achievable electron mobility (2DEG mobility in AlGaN/GaN HEMT structures) is nearly double. Both the output charge and gate charge are ten times lower than with Si, and the reverse recovery charge is almost zero which is key for high frequency operations. Owing to these attractive properties and features, GaN is used in a variety of microwave power applications due to their superior electron transport properties.

In this work, we report on the low-temperature, as-grown crystalline GaN films on various substrates via a hollow-cathode plasma-ALD system. The purpose of this work is to see the effect of different plasma gas mixture on the growth of GaN films at substrate temperatures less than 250oC and tune the crystallinity via in situ Ar-plasma annealing.

Plasma assisted atomic layer deposition of GaN thin films is carried out in a hollow cathode plasma-ALD chamber. Si (100), Si (111), glass, and sapphire films have been used as the substrate. The growth temperature is kept between 120 to 240 °C, 10 sccm of N2 flow is used as a carrier gas and Ar-purging gas flow at 50 sccm. Triethylgallium is used as Ga precursor and various nitrogen plasma chemistries including different compositions of N2, H2, and Ar gases are studied. The optimized growth condition is determined from the saturation studies, where the growth-per-cycle (GPC) parameter is noted for different precursor pulse time (30, 45, 60 and 90 ms), rf-plasma power (100 W to 200 W) and plasma-gas chemistries at different plasma compositions have been studied at (i) Ar/N2 at 50/50 sccm; (ii) N2-only at 50 sccm; and (iii) N2/H2 at 50/10 sccm. We observed the crystalline nature of GaN films through XRD analysis. The as-grown films were compared with films grown with an additional Ar-plasma annealing step within the unit-ALD cycle. As we recently reported for Ga2O3 films, our main goal is to achieve improvement in crystalline properties with the surface heating effect of the in situ Ar-plasma annealing treatment. The XRD measurements of these in situ Ar-plasma annealed samples will be presented along with the spectroscopic ellipsometer, x-ray reflectivity (XRR), and x-ray photoelectron spectroscopy (XPS) studies. The impact of the reactor ambient during plasma annealing under different plasma gas mixtures will be presented as well.

View Supplemental Document (pdf)
AF6-19 Optimization of AlN Film Purity Using Atomic Layer Annealing
Markus Bosund, Emma Salmi, Katja Väyrynen, Mikko Söderlund, Patrick Rabinzohn (Beneq); Mikko J Heikkilä ((2) University of Helsinki, Department of Chemistry); Jaakko Julin ((3) University of Jyväskylä, Department of Physics); Timo Sajavaara (University of Jyväskylä, Department of Physics)

Aluminum nitride (AlN) combines a high band-gap with good thermal conductivity making it an excellent material for several applications. AlN films are being considered as passivation and dielectric layers for power devices and other compound semiconductor devices such as RF, VCSEL, LED, and Light Sensor. Both bulk film and boundary impurities affect the device performance. This study presents observations of Atomic Layer Annealing (ALA) on PEALD AlN film and interface impurities. Less examined hydrogen impurity level was also measured from the films.

Atomic layer annealing is a method where additional thermal energy is introduced after each ALD cycle. In this work the ALA step was done using an additional plasma pulse. Earlier studies indicate that epitaxial growth can be reached with plasma ALA step [1-3]. Although this method has been demonstrated earlier, the effect of ALA step on atomic concentrations and especially film hydrogen concentrations and especially film hydrogen concentration are published for the first time.

This research work also presents a slightly different simplified process using only N2 gas in the ALA step instead of Ar or Ar/N2 mixture. The difference of AlN films deposited with and without ALA steps were investigated using X-ray diffraction (XRD) and Time-of-flight elastic recoil detection analysis (TOF-ERDA) spectrometer for elemental analysis.

AlN purity variation within wafer was also investigated. Atomic concentrations were measured from 200 mm wafers. Three measurement points were taken at precursor flow direction.

Beneq TFS 200 and TransformTM reactors equipped with direct CCP plasma were used in this work. Plasma frequency was 13.56 MHz. Ammonia plasma was used in ALD step but ALA step was done using N2 as presented in Fig. 1. (supplemental file). Various plasma times and reactor temperatures were investigated.

ALA step had clear effect on the crystalline structure of the AlN film. All films were polycrystalline hexagonal wurtzite aluminum nitride layers but the films deposited with ALA step had stronger (002) preferential orientation.[1,3]

TOF-ERDA elemental analysis indicated that the atomic concentration of hydrogen decreased from 12 to 7 at.-% with ALA step. This difference was obtained from the bulk of the film. Oxygen impurity was optimized below 0.5 at.-% and carbon impurity always lower than 1.2 at.-%

View Supplemental Document (pdf)
AF6-22 Understanding the Influence of Plasma-Enhanced Atomic Layer Deposition of SiN on GeSbTe
Hamid Razavi (University of California, Los Angeles); Meihua Shen, John Hoang, Thorsten Lill (Lam Research Corporation); Jane P. Chang (University of California, Los Angeles)

Keeping the composition of GexSbyTez (GST) materials constant during the encapsulation process is a challenging problem mostly due to the volatility of Ge products during the deposition processes particularly at higher temperatures between 100 and 150oC.

This work studies the effect of the Ge, a constituent element of GST, as a model substrate on the nucleation and growth behavior of SiN capping layer deposited by plasma-enhanced atomic layer deposition (PE-ALD) method using Bis(t-butylamino)silane (BTBAS) with the molecular formula of [NH(C4H9)]2SiH2 as the Si precursor. Atomic nitrogen generated by a 2.45 GHz coaxial waveguide microwave plasma source was used as a nitridizing agent of the precursor.

The deposition of PEALD SiN was assessed by examining the effect of substrate temperature (25 to 150oC), microwave plasma power (25 to 75 W), and the pre-deposition plasma surface treatment. The in-situ N2 plasma was effective for substrate cleaning by reducing O concentration from the Ge native oxide layer. The nucleation and the growth of deposited SiN on the substrates was studied using an in-situ x-ray photoelectron spectroscopy and ex-situ scanning electron microscopy (SEM). The relative concentration of nitrogen atoms was quantified as a function of plasma processing parameters by optical emission spectroscopy as it dictates the surface reaction with the precursor to form SiN. XPS results confirmed the nucleation of SixNy with the ratio of x/y=1/2 on Ge/GeO2. Pre-deposition N2 plasma surface treatment resulted in nitridation of Ge and a significant reduction of the oxygen and carbon content, making the interface a higher quality one
AF6-25 Low-Temperature Plasma Enhanced Atomic Layer Deposition of WOx using a novel Tungsten Precursor
Kamesh Mullapudi, Konner Holden, Jessica Peterson (Oregon State University); Ravindra Kanjolia, Dan Moser, Charles Dezelah (EMD Performance Materials); John Conley (Oregon State University)

Tungsten oxide (WO3) has garnered interest lately for its use in smart windows,1 forming-less resistive memories,2 and as a potential candidate for emerging neuromorphic memories.3 Microelectronics applications require films of high electrical quality with excellent conformality, uniformity, and thickness control for which ALD is the method of choice. Early thermal ALD reports of WO3 films employed the use of halide-based precursors which resulted in self-etching due to reaction byproducts.4,5 More recent work has focused on metal-organic chemistries and plasma-enhanced ALD (PEALD), which provides benefits such as lower deposition temperatures. Recent PEALD work includes WH2(iPrCp)2 and W(tBuN)2(Me2N)2 with a direct O2 plasma source which required temperatures in the range of 300-400°C for a constant GPC window.6,7 Another recent study used WH2(Cp)2 with a remote O2 plasma and reported a constant GPC ALD window between 200-300°C and that O-content could be controlled with H2 plasma in an ABC type process.8

In this work we report PEALD of WO3 using a novel metal-organic tungsten precursor [bis(tert-butylimido)bis(trimethylsilylmethyl)tungsten(VI), W(CH2Si(CH3)3)2(CN(CH₃)₃)2 or WSN-4] with remote O2 plasma as a co-reactant using a Picosun R200 reactor. A plot of film growth per cycle (GPC), using a 2/15/40/30 s WSN-4/N2/O2 plasma/N­2 pulse sequence, shows growth decreasing from 1.0 to 0.44 Å/cycle as the growth temperature increases from 150 to 350⁰C, accompanied by an increase in optical refractive index from (Fig. 1). Pulse saturating behavior for both the WSN-4 (Fig. 2) and O2 plasma (Fig. 3) half-cycles, indicates that film growth is self-limited at 250⁰C. Grazing angle x-ray diffraction (GI-XRD) reveals that an as-deposited 12 nm thick film was amorphous, while post-deposition isochronal (60 min) O2 annealing produced crystallization at 600⁰C into the triclinic phase of WO3, with an estimated grain size of ~30 nm (Fig. 4 and SEM image inset).

Further details on WSN-4 properties, nucleation behavior on BEOL metals such as TiN and TaN, film density, stoichiometry, band gap, and electrical properties of ALD WO3 will be discussed at the meeting.

1. S.J. Lee et al., ACS Sustainable Chem. Eng. 7, 7111 (2019).

2. S. Won, et al., Scientific Reports 7, 10186 (2017).

3. S. Hao, et al., ACS Appl. Nano Mater. (2021).

4. P. Tägtström et al., J. Electrochem. Soc. 146, 3139 (1999).

5. D.K. Nandi and S.K. Sarkar, Energy Procedia 54, 782 (2014).

6. J.-G. Song, et al., ACS Nano 7, 11333 (2013).

7. S. Balasubramanyam et al., J. Vac. Sci. & Tech. A 36, 01B103 (2017).

8 R.I. Romanov et al., J. Phys. Chem. C 124, 18156 (2020).

View Supplemental Document (pdf)
AF6-28 Influence of Plasma Species on the Growth Mode and Material Properties of Indium Nitride Grown by Plasma-Assisted Atomic Layer Epitaxy
Jeffrey Woodward (U.S. Naval Research Laboratory); Samantha Rosenberg (Sandia National Laboratories); David Boris, Scooter Johnson (U.S. Naval Research Laboratory); Zachary Robinson (SUNY Brockport); Michael Mastro (U.S. Naval Research Laboratory); Karl Ludwig (Boston University); Charles Eddy (Office of Naval Research Global); Jennifer Hite (U.S. Naval Research Laboratory)

Indium nitride (InN) is an attractive material for applications in high speed and high frequency transistors, chemical and biological sensors, and topological insulator-based devices. The realization of many novel InN-based device concepts requires epitaxial growth with atomic-level thickness control, for which plasma-enhanced atomic layer deposition (PEALD) and its variants have an advantage compared to conventional epitaxial methods such as metal-organic chemical vapor deposition (MOCVD). However, most studies of III-nitride PEALD have demonstrated the deposition of polycrystalline material rather than true epitaxial growth (i.e., the formation of a highly ordered crystalline film with a well-defined orientational relationship to the substrate). Plasma-enhanced atomic layer epitaxy (PEALEp), a variant of PEALD that utilizes relatively higher temperatures in order to promote surface diffusion processes and improved crystallinity, is capable of true epitaxial growth, though the growth kinetics are more complicated than the case of typical PEALD. In prior in situ studies of InN PEALEp on GaN[1], we observed a Stranski-Krastanov growth mode (i.e., 2D growth mode that transitions to 3D mode after the accumulated compressive strain energy exceeds a threshold), which is not typically associated with PEALD. Furthermore, the various plasma properties exert complex influences due to the multitude of physical and chemical surface processes involved in the growth. Considerable efforts will be required to better understand how to manipulate the plasma properties in order to achieve device-quality material.

In this work, we investigate the growth kinetics and resultant material properties of InN grown by PEALEp on GaN within three different dominant regimes of active nitrogen plasma species concentrations —atomic N, metastable molecular N2, and a balance of both. Using ex situ characterization techniques, we determine that atomic nitrogen promotes island growth with small crystal domains, whereas metastable N2 promotes Stranski-Krastanov growth with comparatively larger domains. A balance of the two active species promotes a rough topography that combines features of the other two regimes. These results are complemented by in situ real-time studies of the topographical evolution, conducted at the Cornell High Energy Synchrotron Source (CHESS) using grazing incidence small angle x-ray scattering (GISAXS).

[1] J.M. Woodward, S.G. Rosenberg, A.C. Kozen, N. Nepal, S.D. Johnson, C. Wagenbach, A.H. Rowley, Z.R. Robinson, H. Joress, K.F. Ludwig Jr, C.R. Eddy Jr, J. Vac. Sci. Technol. A 37, 030901 (2019)

Session Abstract Book
(357KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule