ALD/ALE 2021 Session AF1: Precursors and Chemistry: Precursor Design, New Precursors, Process Development

On Demand

Session Abstract Book
(394KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

AF1-1 Thermal Atomic Layer Deposition of Cobalt Metal Films: Synthesis and Characterization of Volatile, Thermally Stable Cobalt Precursors and Development of New Thermal Cobalt ALD Processes
Nyi Myat Khine Linn, Jonathan Hollin, Zachary Devereaux, Charles Winter (Wayne State University)

Miniaturization trends in microelectronics require thin films of transition metals and alloys by thermal atomic layer deposition (ALD), because thermal ALD can deposit ultrathin films with conformal coverage in high aspect ratio features and afford Angstrom-level thickness control. Recently, growth of cobalt metal thin films has gained attention due to numerous applications, such as replacing copper with cobalt in nanoscale devices. However, there have been limited reports of thermal ALD processes for cobalt metal because most cobalt precursors have low thermal stabilities (decompose at <250 ℃) and low reactivity toward common reducing agents. The overall goal of our project is the discovery of new cobalt ALD precursors and the development of new cobalt ALD processes. This cobalt process will be incorporated with other metal precursors to afford metal alloy thin films, such as CoTix (x = 0.18-0.83). Different classes of cobalt(II) complexes, containing ligands such as β-ketoiminate, β-diketonates, and β-diketonate with neutral donors, were synthesized as potential ALD precursors. The volatility and thermal properties of these compounds were assessedby thermogravimetric analysis, melting point, and thermal decomposition studies. Cobalt metal ALD studies were carried out with substrate temperatures from 200 to 300 ℃ using a cobalt precursor and a nitrogen-based reducing agent. Cobalt nitride and cobalt metal films resulted. Film growth only occurred on metal substrates and not on insulators. Cobalt elemental composition on the substrates was first analyzed by X-ray photoelectron spectroscopy. Film thicknesses were measured by cross-sectional scanning electron microscopy and the phases of the films were characterized by grazing incidence X-ray diffractometry. Other film characterization data will be presented.

AF1-2 Atomic Layer Deposition of Ga2O3 Thin Films Using a Liquid Precursor Pentamethylcyclopentadienyl Gallium and Combinations of H2O and O3
Makoto Mizui, Nobutaka Takahashi, Shintaro Higashi, Fumikazu Mizutani (Kojundo Chemical Laboratory Co., Ltd.)

Pentamethylcyclopentadienyl gallium (GaCp*) is a liquid precursor for atomic layer deposition of Ga2O3 thin films. GaCp* is a cyclopentadienyl compound having a pentahapto half-sandwich structure as well as ethylcyclopentadienyl indium (InEtCp), which we previously reported [1]. Recently, we reported that high-purity Ga2O3 thin film with negligible carbon residue was deposited by the ABC-type ALD process with GaCp*, H2O, and O2 plasma (WpO) [2]. In this study, we applied O3 as an alternative oxidant in place of O2 plasma, and we conducted the ABC-type ALD process with GaCp*, H2O, and O3 (WOz).

The decomposition temperature of GaCp* was estimated to be around 250 °C by using differential scanning calorimetry. In our recent study of the WpO process, the growth temperature was set to 200 °C [2]. However, O3 oxidization was supposed to be weaken below 200 °C [3]. Therefore, the growth temperature was set to 230 °C in this study. Ga2O3 thin films were deposited on 50 mm Si wafer with native oxide films. During the WOz process, linear growth with no incubation time and with a growth per cycle (GPC) of ~0.1 nm/cycle was observed, when 2 s GaCp*, 0.5 s H2O, and 250 s O3 pulse times were applied. For the WOz process, a self-limiting surface reaction occurred when a GaCp* pulse time of 1.5-3 s, a H2O pulse time of 0.4-0.6 s, and an O3 pulse time of 180-300 s were applied. With a short O3 pulse time less than 180 s, oxidation was clearly insufficient and resulted in thinner Ga2O3 films. The GPC of the WOz process was larger than the GPC of WpO process (0.06 nm/cycle [2]). The reason was supposed that hydroxyl group remained after an O3 step, and more reactive points existed at the next GaCp* step.

The elemental depth profile was analyzed by using high-resolution Rutherford backscattering spectroscopy (HR-RBS). It clearly shows that Ga2O3 film with a stoichiometric composition (O/Ga = 1.5) was obtained by WOz process, and that carbon was detected only on the surface. This result is comparable with the WpO process [2], and it indicates that ALD Ga2O3 film with high purity and negligible carbon residue was possible by using O3 as an alternative oxidant in place of O2 plasma.

The Ga2O3 films by ABC-type ALD with GaCp*, H2O, and O3 was demonstrated. This result will expand the potential of GaCp* for attractive applications such as large-area displays.

References

[1] F. Mizutani, S. Higashi, M. Inoue, and T. Nabatame, AIP Advances 9, 045019 (2019).

[2] F. Mizutani, S. Higashi, M. Inoue, and T. Nabatame, J. Vac. Sci. Technol. A 38, 022412 (2020).

[3] J. W. Elam, A. B. F. Martinson, M. J. Pellin, and J. T. Hupp, Chem. Mater. 18, 3571 (2006). View Supplemental Document (pdf)
AF1-3 Synthesis, Structure and Property Evaluation of a New Class of Volatile Lanthanide Precursors Containing Enaminolate Ligands
Charles Winter, Navoda Jayakodiarachchi (Wayne State University)

Thin films of binary lanthanide oxides and lanthanide-containing ternary oxides have gained increased attention in the microelectronics industry due to their high dielectric constants, large band gaps, and small lattice mismatches with silicon, which make them excellent materials for gate dielectrics in semiconductor devices. Also, lanthanide oxide thin films are useful as protective and antireflection coatings, luminescent materials, and catalysis. Atomic layer deposition (ALD), which is a thin film deposition technique that affords Angstrom-level thickness control and high conformality in high aspect ratio features, is a promising technique to deposit lanthanide oxide thin films. However, lanthanide precursors available to deposit lanthanide oxide thin films by ALD remain limited, especially for water-assisted lanthanide oxide processes. Hence, designing new lanthanide precursors for ALD is a crucial driving force for the advancement of microelectronic devices and also for accessing new properties and applications associated with lanthanide oxide materials. We have prepared a new class of lanthanide precursors containing enaminolate ligands of the formula [R2NCH=C(tBu)(O)]- by treatment of three equivalents of the potassium enaminolate salt with one equivalent of anhydrous lanthanide(III) chlorides. As representative examples, La, Pr, Nd, Er, Lu, and Y complexes were synthesized and characterized using NMR, IR spectroscopy, and CHN microanalyses. The molecular structures of selected complexes were determined using X-ray crystallography. The volatility and thermal stability of the new complexes were evaluated using thermogravimetric analyses, sublimation temperatures, and thermal decomposition temperature measurements to determine their suitability as ALD precursors. To study the effect of the ligand substituents on the volatility and thermal stability of the lanthanide enaminolate complexes, three ligand derivatives with different R group were employed (L1, NR2 = N(CH3)2, L2, NR2 = N(CH2)4, L3, NR2 = N(CH2)5). All of the lanthanide enaminolate complexes were volatile and sublimed between 95 and 160 °C at 0.5 Torr. Complexes with L1 ligand showed the lowest sublimation temperatures (95 to 120 °C at 0.5 Torr), whereas complexes with L2 and L3 ligands sublimed at 140 to 160 °C at 0.5 Torr. Moreover, complexes with L3 ligands showed higher thermal stabilities than those found in L1 and L2 complexes. Most importantly, these lanthanide enaminolate complexes were found to be reactive toward the water, which is a promising sign for the use of these precursors in future water-assisted ALD processes to deposit lanthanide-containing thin films.

View Supplemental Document (pdf)
AF1-4 Metal Selenides: From Precursor Synthesis to Atomic Layer Deposition
Jaroslav Charvot (University of Pardubice); Maïssa Barr (Friedrich-Alexander University of Erlangen-Nürnberg); Raul Zazpe (University of Pardubice); Yuanyuan Cao, Vanessa Koch (Friedrich-Alexander University of Erlangen-Nürnberg); Daniel Pokorný, Jan Macák (University of Pardubice); Julien Bachmann (Friedrich-Alexander University of Erlangen-Nürnberg); Filip Bureš (University of Pardubice)

Metal selenides possess interesting properties among various fields, as photovoltaics, thermoelectric materials, photocatalysis and many other. ALD is a technique that allows for film deposition of these materials with nanometer thickness, and which can even enhance the desired characteristics. Solution Atomic Layer Deposition (sALD) is an emerging method bypassing some of the gas ALD (gALD) limitations, and in which the gas-solid reaction system is substituted with reactions between the substrate surface and precursors dissolved in appropriate solvent.

The literature provides very few chemical methods for the controlled deposition of metal selenides. Essentially, the number of potential selenium precursors for deposition represents a bottleneck. Besides the highly toxic and unstable H2Se, only bis(trialkylsilyl)selenides are established for selenides thin film manufacturing. This work presents various silyl- and stannylselenides with linear or cyclic structure for gALD and sALD depositions. The molecular structures can be tailored to achieve various trade-offs between volatility, reactivity, air stability or ease of synthesis, as required depending on the application.

Selected precursors are then tested in gALD and sALD to prepare metal selenides and compared. Both the choice of precursor molecule and the choice of technique (gALD vs sALD) can be used to adjust the results and optimize them towards either high growth or high substrate selectivity.

AF1-5 Investigating the Role of Sigma and Pi Bonding in Volatile Molybdenum(Vi) Precursors
Michael Land, Goran Bačić (Carleton University); Katherine Robertson (Saint Mary's University); Seán Barry (Carleton University)

Several atomic layer deposition (ALD) processes that deposit molybdenum films use precursors with the bis(tert-butylimido)molybdenum(VI) framework. Most of the reported volatile molybdenum compounds are prepared via salt metathesis reactions of bis(tert-butylimido)dichloromolybdenum(VI) ((tBuN)2MoCl2) with anionic nitrogen-based ligands such as dimethylamide or amidinates. Recently, we investigated the volatility and thermal stability of the parent compound, (tBuN)2MoCl2, and the effect of neutral ligands including amines, phosphines, ethers, and carbenes [1]. Some of the coordinating ligands increased the volatility of this framework but were found to dissociate from the metal complex upon evaporation. This "ligand-assisted volatilization" is a convenient method to increase the volatility of a compound for purification (by sublimation) purposes but is not practical for ALD since ligand dissociation in the gas phase will cause inconsistent gas-phase concentrations.

Notably, the neutral N,N'-chelate ligands did not dissociate from the metal complex upon heating. Herein, we investigate a series of (tBuN)2MoCl2 complexes with neutral N,N'-chelates. Incorporation of 1,4-di-tert-butyl-1,3-diazabutadiene results in a volatile compound (1) with an onset of volatilization of 91 ºC (from thermogravimetric analysis, Fig. 1) and thermal decomposition beginning around 170 ºC, (from differential scanning calorimetry). We found we could easily increase the thermal stability as we proceed down the spectrochemical series towards “low spin ligands”. For example, the corresponding 2,2’-bipyridine adduct (2), has a significantly increased thermal stability, with an onset of decomposition at 240 ºC. Although this increased stability comes at the cost of volatility (165 ºC); however, a similar thermal range (79 ºC for 1 and 75 ºC for 2) is observed.

Several other ligands were also investigated with thermal stabilities ranging from 160 ºC for “higher spin ligands” to 273 ºC for “lower spin ligands”. Increases in thermal stability appear to arise from a π-withdrawing effect, whereas decreases arise from a σ-donation effect: these opposing bonding effects were investigated computationally. These effects reduce and increase the basicity of the imido nitrogen atom, respectively, which controls the activation energy for the primary decomposition pathway; γ-H activation of the tert-butyl group (Fig. 2). Finally, all compounds have been characterized in the solid state, using traditional spectroscopic techniques, including single-crystal X-ray diffraction.

View Supplemental Document (pdf)
AF1-6 Unearthing Zinc Alkyls as Reducing Agents in Cobalt Metal ALD: Mechanistic Studies, Process Development and Thin Film Analysis
David Zanders (Ruhr University Bochum); Ji Liu (Tyndall National Institute, University College Cork); Jorit Obenlüneschloß, Claudia Bock (Ruhr University Bochum); Michael Nolan (Tyndall National Institute, University College Cork); Seán Barry (Carleton University); Anjana Devi (Ruhr University Bochum)

Cobalt-containing thin films and nanomaterials – in particular metallic Co – are garnering significant interest as next-generation interconnects to replace Cu in future nanoelectronic devices. A review of the current ALD processes for Co thin films reveals a surprising gap: No studies on the use of metal organic reducing agents has been reported.[1] This is surprising considering the ALD history of its “competitor” Cu and the reports on processes employing several Cu(I) and Cu(II) precursors being reduced with the well-known Zn(Et)2.[2,3] However, deposition of copper using this Zn-containing reductant resulted in unwanted Zn contamination owing to the low thermal stability and CVD-type behavior of Zn(Et)2.[4]

This presentation describes the development of an ALD process yielding Zn-free thin films of Co, employing CoCl2(TMEDA) (previously used in the ALD of Co3Sn2 intermetallics[5]) as Co precursor and Zn(DMP)2, an intramolecularly stabilized Zn(II) aminoalkyl compound,[6] as Zn precursor. The precursor pair has been chosen based on an initial reactivity study encompassing several Zn precursor candidates (Figure 1) which allowed us to hypothesize the mechanistic reaction steps that may be involved in thin film growth in the ALD process. The mechanisms of thin film formation are examined by detailed DFT calculations to support the proposed reaction paths.

A full process study was carried out on 2 inch Si(100) wafers (Figure 2).Typical ALD growth characteristics in terms of saturation were found for both Co and Zn precursor pulse and a strong dependency of the growth per cycle (GPC) on the deposition temperature was observed. The film thickness scaled linearly with the number of deposition cycles, confirming ALD behavior. Complementary RBS/NRA and XPS investigations on selected Co thin films confirmed their metallic nature and the absence of Zn. While other impurity levels were low as well, the C contamination amounted to 20 at.%. Nevertheless, resistivity measurements of Co thin films directly grown on insulating SiO2 substrates yielded promising values of 15 - 20 μΩ cm.

[1]https://www.atomiclimits.com/alddatabase/.

[2]Z. Zhong et al., Thin Solid Films, 2015, 589, 673.

[3]B. H. Lee et al., Angewandte Chemie (International ed. in English), 2009, 48, 4536.

[4]T. Muneshwar et al., Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 2016, 34, 50605.

[5]K. Väyrynen, et al. Adv. Mater. Interfaces, 2019, 6, 1801291.

[6]L. Mai et al., Small (Weinheim an der Bergstrasse, Germany), 2020, 16, e1907506.

View Supplemental Document (pdf)
AF1-7 Deposition of Dielectric Y2O3 Thin Films by Thermal ALD Using a Homoleptic yttrium Formamidinate Precursor and Water
Nils Boysen, David Zanders, Thomas Berning, Detlef Rogalla, Sebastian M. J. Beer, Claudia Bock, Anjana Devi (Ruhr University Bochum)
The atomic layer deposition (ALD) of Y2O3 thin films is widely known to be an important process not only for the application of Y2O3 as high-k oxide, but also for wear-, corrosion-resistive and hydrophobic coatings. These applications benefit from the intrinsic properties of ALD processes such as a high thin film uniformity, conformality and compositional quality. Such beneficial properties can only be exploited if the precursor chemistry and behavior is fine-tuned for a thoroughly optimized ALD process. In this study, we opted for the development of an ALD process for the formation of dielectric Y2O3 thin films using a homoleptic yttrium precursor [Y(DPfAMD)3] and compared the chemical nature of the precursor, ALD process characteristics and the resulting thin film quality to the already established processes using the parent amidinate [Y(DPAMD)3] and guanidinate [Y(DPDMG)3] precursors. Thermogravimetric analysis (Figure 1) of all compounds revealed superior evaporation behavior of [Y(DPfAMD)3] compared to [Y(DPAMD)3] and [Y(DPDMG)3], which ultimately resulted in considerably reduced precursor evaporation temperatures of only 95 °C in the corresponding ALD process and an enhanced ALD processing window reaching from 200 °C to 325 °C (Figure 2). Homogeneous, smooth and crystalline thin films were obtained at 300 °C with a GPC of 1.36 Å and contamination levels (C and N) were under the detectable limits of NRA (< 0.5 at.%) at this deposition temperature. XPS confirmed the low levels of impurities on the surface of the films and interesting similarities and differences were found in the O1s and Y3d core level spectra, where the contribution from yttrium hydroxides (Y-OH) as an intrinsic feature of the water assisted ALD process are possibly lower when using [Y(DPfAMD)3] compared to [Y(DPAMD)3] and [Y(DPDMG)3]. The quality of the Y2O3 thin films was tested in metal-insulator-semiconductor (MIS) device structures, where a high permittivity of 13.9 at 1 MHz and a low breakdown field in the range of 4.2 to 6.1 MV cm-1 could be determined. The presented results clearly show that a rational choice of the precursor and only small changes within the ligand sphere of the precursor can have a considerable impact on its performance in the corresponding ALD process. View Supplemental Document (pdf)
AF1-8 (tBuNH)SiMe2NMe2 – a new N,N’-κ2-monoanionic Ligand for Atomic Layer Deposition Precursors
Matthew Griffiths (Carleton University, Canada); David Zanders (Ruhr University Bochum, Germany); Michael Land (Carleton University, Canada); Jason Masuda (Saint Mary's University, Canada); Anjana Devi (Ruhr University Bochum, Germany); Seán Barry (Carleton University, Canada)

Thanks to ALD precursor design research, today there exist volatile and thermally stable precursors for almost every element on the periodic table. While this has enabled materials of all different types to be deposited, there are still some shortcomings that arise from the incorporation of impurities into the deposited films. In many cases, these impurities are not only detrimental to the properties of the film, but they are introduced from the metalorganic precursor itself.

Amidinate precursors are one of the most popular classes of ALD precursor because they are easily synthesized and react cleanly with most co-reagents to deposit metal oxides, nitrides, sulfides, and other binary or ternary materials. In some cases, however, forming a metallic film using metal amidinates and a reducing co-reagent can leave significant amounts of carbon and nitrogen in the films. This has prompted a revitalized push to develop new precursors for refractory metals and many of the first-row transition metals. We hypothesized that a potential improvement to the amidinate system could be to make it slightly less strongly bound to the metal center by disrupting the resonance structure present in the ligand and thus allow for reduction on a surface to proceed more readily.

To furnish a stable ligand, we replaced the bridgehead carbon of the amidinates with silicon, giving a gem-diaminosilane (gDAS) which has the desired N,N’-κ2-chelating motif and is monoanionic like the amidinates. The ligand (tBuNH)SiMe2NMe2 (R1 = Me, R2 = tBu) has been described only once for the first-row transition metals (Ni and Co); thus we decided to explore its potential across the periodic table.

Two Li compounds have been identified and perform well by thermogravimetric analysis (TGA). Due to the large steric bulk of this ligand, complexes that would contain more than two gDAS ligands have not been observed (Sc and Ti). When attempting to prepare V(gDAS)3 we instead isolate only V(gDAS)2. This +2 oxidation state is common for many of the first-row transition metals and this ligand forms thermally stable and volatile precursors of the type M(gDAS)2. Where M = V, Mn, Fe, Co, Ni, Zn, and Mg, the compounds perform exceptionally well with residual masses by thermogravimetric analysis (TGA) below 5%, and 1 Torr temperatures between 110 and 115 °C. In particular the Co(gDAS)2 complex is very thermally robust and performs very well during a TGA stress test. These compounds are the first of a family of precursors containing this type of monoanionic N-Si-N ligand and are prime candidates for ALD process development. View Supplemental Document (pdf)
AF1-9 Aluminum Hydride Precursors for Atomic Layer Deposition of Aluminum Metal
Charles Winter, Nilanka Sirikkathuge (Wayne State University)

The growth of atomically precise, conformal aluminum (Al) metal films is desired due to their applications in microelectronics, plasmonics, energetic materials, and hydrogen storage processes. Thermal atomic layer deposition (ALD) can be employed to grow high-quality films through sequential and self-limiting surface reactions. Al metal possesses a very negative electrochemical potential (Al3+ + 3e- ↔ Al, Eo = -1.676 V), which necessitates the use of powerful, thermally stable reducing agents as co-reactants during thermal ALD processes. There have been only two literature reports on the thermal ALD of Al metal films, both of which came from our laboratory. Considerable work remains to be carried out to develop strongly reducing co-reactants that can be used for Al metal ALD. In the present work, we will describe the synthesis, characterization of Al dihydride complexes of the formula [LAlH2]n where L is a monoanionic, multidentate oxygen or nitrogen ligand. The applicability of these complexes as reducing agents in the thermal ALD of Al and other metal deposition processes will be overviewed.

AF1-10 Growth Mechanism of the Atomic Layer Deposition of ZnO Thin Films Using Bis(n-Propyltetramethylcyclopentadienyl)Zinc
Fumikazu Mizutani, Makoto Mizui, Nobutaka Takahashi (Kojundo Chemical Laboratory Co., Ltd.); Mari Inoue, Toshihide Nabatame (National Institute for Materials Science)
We have reported the atomic layer deposition zinc oxide thin films using cyclopentadienyl-based precursors, bis(n-propyltetramethylcyclopentadienyl)zinc, Zn(Cppm)2, which is expected to deposit high-purity ZnO thin films [1]. At that time, ZnO thin films were deposited at 200 °C on 150 mm Si wafers with native oxide films, and the ALD process consisted of alternating exposure to Zn(Cppm)2 and oxidants, H2O followed by an O2 plasma. Then, linear growth up to 50 cycles was observed with pulse times for Zn(Cppm)2, H2O, and O2 plasma of 0.1, 30, and 120 s, respectively [1].

However, after that, when the sample deposited up to 1000 cycles was analyzed by cross-sectional TEM, it was found that the film of SiO2 containing a small amount of Zn was initially grown, and the island-shaped ZnO film was grown in the next stage. That is, since the precursor is adsorbed at a low density in the initial stage, it is considered that the SiO2 film grew while taking in Zn in the precursor by O2 plasma having a very long pulse time. And at the next stage, nucleation has started. In the ALD process design, the precursor pulse, H2O pulse, and O2 plasma pulse correspond to precursor adsorption, precursor oxidation, and surface hydroxyl group dehydrogenation, respectively. Among these, the H2O pulse time and the O2 plasma pulse time are quite long, so it is considered that the cause of the nucleation delay was insufficient precursor pulse time. On the other hand, since the ZnO film is deposited after nucleation, it seems that the extension of the precursor pulse time is sufficient only at the initial stage. Therefore, the precursor pulse time was extended to 1 s only in the first 10 cycles, and then ALD was performed up to 300 cycles with a precursor pulse time of 0.1 s to prepare a sample for evaluation of nucleation. When a cross-sectional TEM observation of this sample was performed, a conformal film was deposited. Thus, ALD without nucleation delay using this Zn(Cppm)2 was demonstrated.

References
[1] F. Mizutani, S. Higashi, N. Takahashi, M. Inoue, and T. Nabatame, ALD2020, AF-MoP70 (2020).
AF1-11 Ultrasonic Atomization of Titanium Isopropoxide at Room Temperature for TiO2 ALD Thin Films
Moon-Hyung Jang, Yu Lei (University of Alabama in Huntsville)

Room temperature evaporation of titanium isopropoxide [Ti[OCH(CH3)2]4, TTIP] precursor was performed using ultrasonic atomization technique for TiO2 atomic layer deposition (ALD) thin films growth. Quartz crystal microbalance data show comparable results on the growth behavior between room temperature TTIP ultrasonic atomization and heated TTIP bubbler at 70 oC. The TiO2 ALD saturation window is established for room temperature atomized TTIP exposure time and reactor temperatures. Room temperature atomized TTIP grown TiO2 films show smooth surface morphology as well as TiO2 anatase crystal structure before/after the annealing treatment. Two-dimensional TiO2 film thickness mappings on a 150 mm diameter Si(100) wafer were performed by spectroscopic ellipsometry. The thickness variation of TiO2 films by the room temperature atomized TTIP is less uniform than that of TiO2 films by thermally vaporized TTIP, due to the incomplete evaporation of the TTIP liquid droplets, which is more difficult to transport than its vapor in the cross-flow configuration of ALD.

AF1-14 Designing Volatility Into Lead Precursors
Goran Bacic (Carleton University); Jason D. Masuda (St. Mary's University); Sean T. Barry (Carleton University)

Volatility is a critical property of ALD precursors, but there is no reliable method to predict it. This was recently highlighted in our research when we discovered that bis[lead(II) N,N-di-tert-butyl-1,1-dimethylsilanediamide] [(4Pb)2] had good volatility (112°C/1Torr) despite being dimeric in the solid and vapor phases. Oligomerization usually decreases volatility, so we attempted to cleave the dimer to improve volatility by increasing steric bulk, adducting the monomer with a Lewis base, and by installing a pendant tertiary amine onto the ligand itself. None of these strategies produced a more volatile complex than (4Pb)2. Indeed, even the monomeric derivative lead(II) N,N′-bis(1-(dimethylamino)-2-methylpropan-2-yl)-1,1-dimethylsilanediamide (5Pb) had slightly lower volatility (118 °C/1Torr). These counter-intuitive results led us to investigate the origins of high volatility with density functional theory (DFT) and experimentally with thermogravimetric analysis (TGA). In this talk, we will introduce and discuss our efforts to combine theoretical and experimental methods to predict precursor volatility.

Since volatility is governed by intermolecular interactions, which in turn are determined by the molecular electrostatic potential (ESP), we modeled vapor pressure against statistical properties of the ESP. To fit our models, we obtained a consistent set of measured vapor pressures for several known and novel lead precursors: the novel (4Pb)2 and 5Pb were compared to the recently reported acyclic lead(II) bis[bis(trimethylsilyl)amide] (Pb(btsa)2, 127°C/1Torr) and the cyclic lead(II) rac-N,N'-di-tert-butyl-2,3-diamidobutane (1Pb, 94°C/1Torr), as well as more classical precursors (e.g., Pb(tmhd)2, 166 °C/1 Torr). For the theoretical calculations, we developed an efficient and reliable DFT methodology (ωB97X-D3(BJ)//revPBE) which was benchmarked against high-level ab initio coupled-cluster calculations (DLPNO-CCSD). Statistical analysis revealed strong correlations between vapor pressure and the total variance (σ±2, R2= 0.987), absolute polar surface area (A, R2= 0.983), and standard deviation (∏, R2= 0.970) of the ESP. With these results, we were able to explain how dimerization improves the volatility of (4Pb)2, why the monomeric 5Pb was less volatile, and which hypothetical lead precursors may be more volatile. Finally, we generalize our findings to qualitative design considerations, critically evaluate the limitations of our current approach, and outline the path toward a quantitative methodology to predict volatility.

View Supplemental Document (pdf)
AF1-17 Solution ALD: A Versatility Process for Various Material Growth
Maissa Barr, Baolin Zhao, Peter Von Grundherr, Md Helal Uddin, Vanessa Koch, Marcus Halik, Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg (FAU))

We have proposed and demonstrated a novel thin film deposition technique by transferring the principles of atomic layer deposition (ALD), known with gaseous precursors, towards precursors dissolved in a liquid. The technique can also be considered as a generalization of already established methods such as the ‘layer by layer’ growth or the ‘successive ion layer adsorption and reaction’ (SILAR). 'Solution ALD' (sALD) shares the fundamental properties of standard ‘gas ALD’ (gALD), specially the self-limiting growth and the ability to coat conformally deep pores. It has been already shown that it is possible to transfer standard reactions from gALD to sALD such as TiO2 deposition . However, sALD also offers novel opportunities such as overcoming the need for volatile and thermally robust precursors.

To illustrate this, we establish sALD procedures for depositing films of non oxides layers such as Pb-compound deposition, MOF, selenides and sulfides. Those examples highlight how ionic, polar, or high-molecular weight precursors that only exist in the condensed phase are now rendered amenable to being utilized in surface-controlled thin film formation by sALD for depositing materials that would otherwise be more difficult or more expensive to achieve by gALD or hazardous. The deposition was achieved on small (2 cm*1 cm) and large samples (up to 10 cm*10 cm). The ALD behavior has been shown. The influence of the deposition parameters on the morphology, the crystalline structure and the chemical composition has been investigated by scanning electron microscopy, atomic force microscopy, grazing incidence x-ray diffraction and x-ray photoelectron spectroscopy. Additionally, the nucleation is studied in function of chemical pretreatment to control the gowth and allow selective deposition of CuS, ZnS and SnS.

This particular ALD process offer the growth of high quality and crystalline layers in middle conditions with the use of low cost and environmental friendly precursors.

Y. Wu, D. Döhler, M. Barr, E Oks,M. Wolf, L. Santinacci and J. Bachmann, Nano Lett. 2015, 15, 6379

J. Fichtner, Y. Wu, J. Hitzenberger, T. Drewello and J. Bachmann, ECS J. Solid State Sci. Technol. 2017, 6, N171

AF1-20 Routes to Novel Dielectric and Semiconductor Devices Using Cyclohexasilane
Ramez Elgammal (The Coretec Group)

A newly developed "liquid silicon" precursor will be discussed. Cyclohexasilane (CHS; Si6H12) has been used to make silicon-based films (such as polysilicon, silicon nitride, silicon carbide and others), as well as silicon nanowires and quantum dots. CHS offers a more versatile, lower-cost and safer pathway to a variety of silicon based products being considered for flexible and printable electronics. One particularly interesting deposition method for CHS that has recently received interest is ALD.

There is a need for a silicon precursor that is not only cost effective, but one that can be readily chemically functionalized to develop advanced materials. CHS is one such silicon precursor that is a liquid at room temperature allowing for easier storage and handling. Cyclohexasilane has a moderate boiling point, 80 °C at 15 torr. It has long been considered to be the preeminent silicon precursor for a variety of applications including silicon anodes, solid state lighting, and a wide variety of semiconductor devices. CHS may also be used to create thin films of β-SiC on a variety of substrates under mild conditions.

Aside from those advantages, CHS allows for facile p-doping of materials and due to the methods of deposition and the deposition conditions amenable to a reagent such as CHS, continuous growth with the suppression of unintentional secondary deposits may be readily achieved. Moreover, it may be possible to use CHS to achieve solution growth to deliver high structural quality materials with significantly decreased capital and operational costs.

The use of CHS across a wide range of industries and applications will be discussed as will the advantages of this material over incumbent technologies.

AF1-23 Atomic Layer Deposition of Cuprous Oxide Using Copper(II) Acetylacetonate
Gabriel Bartholazzi, Lachlan Black (The Australian National University)

Copper oxide is a well-known p-type semiconductor with potential applications in a range of fields, including photovoltaics, gas sensors, catalysis and water splitting. It is mainly found in two oxidation states, cupric oxide (CuO) and cuprous oxide (Cu2O). In some applications, Cu2O is preferred due to its larger bandgap of 2.1-2.6 eV compared to 1.3-17 eV for CuO. Cu2O has been previously deposited by ALD using various combinations of precursors and reactants such as Cu(OAC)2 and H2O, n(Bu3P)2Cu(acac) and wet oxygen and Cu(I)(hfac) and H2O. However, the copper precursors used in these processes suffer from some drawbacks such as poor stability (Cu(OAC)2),adhesion problems due to fluorination (Cu(I)(hfac)) and small temperature window (n(Bu3P)2Cu(acac)). Copper(II) acetylacetonate (Cu(acac)2)appears to be a promising candidate due to its high stability, relatively low price and vapour pressure. Additionally, reports have shown that this precursor has a wide deposition window which is a very important feature when depositing in combination with other materials. Cu(acac)2 has been reported in combination with O3 to produce CuO and in a supercycle reaction with H2O and a reducing agent to produce Cu. Conversely, the Cu(acac)2/H2O process was reported not to result in film growth. Cu2O films obtained from Cu(acac)2 by ALD have yet to be reported. In this work, we demonstrate ALD of Cu2O from Cu(acac)2 and a combination of H2O and O2 as reactants. The depositions were carried out using a Beneq TFS-200 system. Double-side-polished silicon wafers were used as substrates. The Cu(acac)2 precursor was held at 140°C. The precursor and reactant pulse times were varied in order to obtain the process saturation curves. Ex-situ spectroscopic ellipsometry was used to determine the film thickness and optical constants. Fourier-transform infrared spectroscopy (FTIR) and grazing incidence X-Ray diffraction (GIXRD) were used to determine the crystallinity and oxidation state. Film uniformity and roughness were assessed using atomic force microscopy. The results confirm self-limiting deposition behaviour with a growth per cycle of ~0.07 Å/cycle at 200°C. The relatively low growth rate may be due to the incomplete reaction of the precursor ligands, as some level of carbon impurities were detected by FTIR. Nevertheless, GIXRD and FTIR confirm that the desired Cu2O oxidization state was obtained using this process. Films are crystalline and present a direct band gap of 2.6 eV.

The final submission will include additional results on the process optimization which are to be completed soon.

View Supplemental Document (pdf)
AF1-26 Low-Temperature Growth of Crystalline Tin(II) Monosulfide Thin Films by Atomic Layer Deposition Using a Liquid Divalent Tin Precursor
Mohd Zahid Ansari (Yeungnam University); Petr Janicek (University of Pardubice); Dip K. Nandi (Yeungnam University); Stanislav Slang, Marek Bouska (University of Pardubice); Hongjun Oh, Bonggeun Shong, Soo-Hyun Kim (Yeungnam University)

Better-quality stoichiometric SnS thin films were prepared by atomic layer deposition (ALD) using a novel liquid divalent Sn precursor, N, N′-di-t-butyl-2-methylpropane-1,2-diamido tin(II) [Sn (dmpa)], and H2S. A relatively high growth per ALD cycle (GPC) value of approximately 0.13 nm/cycle was achieved at 125 °C. Crystalline SnS films could be grown from room temperature (25 °C) to a high temperature of 250 °C. Density functional theory calculations were used to examine the surface reactions and self-limiting nature of the Sn precursor. Mixed phases of cubic (π) and orthorhombic (o) SnS films were deposited at low temperatures (25–100 °C), whereas only the orthorhombic phase prevailed at high growth temperatures (>125 °C) based on the complementary results of XRD, Raman spectroscopy, and XPS analyses. The optoelectronic properties of the SnS films were further evaluated by spectroscopic ellipsometry(SE) analysis. The results from the SE analysis supported the observed change from mixed π-SnS and o-SnS to o-SnS with increasing deposition temperature and allowed the determination of the energy bandgap (∼1.1 eV) and a relatively broad semi-transparent window (up to 3000 nm). Overall, this new ALD process for obtaining a good quality SnS is applicable even at room temperature (25 °C), and we foresee that this process could be of considerable interest for emerging applications.

Acknowledgments:This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT) (2021R1A2C1007601). The precursor used in this study was provided by Hansol Chemical Co. Ltd., Korea.

Session Abstract Book
(394KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule