ALD2018 Session ALE2-MoA: Plasma and/or Energy-enhanced ALE I

Monday, July 30, 2018 4:00 PM in Room 104-106

Monday Afternoon

Session Abstract Book
(275KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
4:00 PM ALE2-MoA-11 Application of ALE Technology to <10nm Generation Logic Device Fabrication
Jongchul Park (Samsung)

As the design rule decreased gradually the dry etching technology has confronted with the severe limitations of etch loading and mask etch selectivity. Since the single RF powered CCP (Capacitively Coupled Plasma) was developed high frequency dual CCP, ICP (Inductively Coupled Plasma), DCS (DC Superposition) and RF pulsing have developed continuously to overcome those limitations. ALE technology was introduced recently as next generation etching technology to obtain very high performance at SAC (Self Aligned Contact) module of the high density logic device. But as the ALE consists of repeated deposition and etching the process controllability is very worse than the previous etching. We analyzed the control knobs for contact not-open and SAC shoulder fail to obtain much better performance for the mass production, and suggest the superior advantages of ALE over the conventional etching on the point of the fundamental limitations of the dry etching and also recommend very essential technologies for better process capability of ALE technology.

4:30 PM ALE2-MoA-13 Isotropic Atomic Layer Etching of ZnO on 3D Nanostructures, using Acetylacetone and O2 Plasma
Alfredo Mameli, Marcel Verheijen, Adrie Mackus, Wilhelmus Kessels (Eindhoven University of Technology, Netherlands); Fred Roozeboom (Eindhoven University of Technology and TNO, Netherlands)

The continuous driving force from the semiconductor industry for realizing smaller features and device structures with higher density and higher-aspect ratio poses increasing challenges in traditional etching techniques. Atomic layer etching (ALE) provides the opportunity to overcome the shortcomings of more conventional etching processes. Extensive research in this field has led to the development of two main classes: plasma ALE to achieve anisotropic etching and thermal ALE for the isotropic counterpart. Besides anisotropic etching, plasma can also be applied for achieving isotropic etch profiles. Yet, this process option has not been explored extensively.

In this work, we demonstrate isotropic ALE of ZnO on a regular array of vertical nanowires, using the alternated doses of O2 plasma and acetylacetone (Hacac). A linear ZnO thickness decrease with increasing number of cycles was measured by in-situ spectroscopic ellipsometry, and saturation behavior was demonstrated for both Hacac and O2 plasma, at 250oC. The etch rates per cycle were found to increase from 0.5 to 1.3 Å/cycle with process temperature increasing from 100 to 250oC. Transmission electron microscopy (TEM) studies conducted on ZnO-coated nanowires before and after ALE proved the isotropic character of the process. Moreover, the ALE process produced no surface damage/amorphization, as demonstrated by high resolution TEM inspection. In-situ infrared spectroscopy measurements were conducted to elucidate the mechanism underlying self-limited etching. Based on these measurements, a preliminary reaction mechanism is proposed in which Zn(acac)­2 is assumed to be the etching product and persisting acac-species on the ZnO surface provide the self-limiting character. Finally, a high etch selectivity over SiO2 and HfO­2, and the possibility to extend the process to other oxides such as Al2O3 are also demonstrated.

We believe that this plasma-based ALE process represents a valuable addition to the ALE toolbox and opens-up new possibilities for using plasma-processing to achieve isotropic etch profiles. Furthermore, similar approaches can be used to extend the process to other materials, such as nitrides, by tuning the plasma chemistry accordingly.

(1) Lee, C. G. N. et al., The Grand Challenges of Plasma Etching: A Manufacturing Perspective. J. Phys. D. Appl. Phys. 2014, 47, 273001.

(2) Lill, T. et al., Directional Atomic Layer Etching. Encycl. Plasma Technol. 2016, 133–142, Taylor & Francis.

(3) George, S. M. et al., Prospects for Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions. ACS Nano 2016, 10, 4889–4894.

View Supplemental Document (pdf)
4:45 PM ALE2-MoA-14 Etching Reactions of Halogenated Layers Induced by Irradiation of Low-energy Ions and Gas-clusters
Kazuhiro Karahashi, Tomoko Ito, Satoshi Hamaguchi (Osaka University, Japan)

In recent years, in microfabrication of various new devices such as MRAM, PRAM, RRAM, etc., damage-free, high-precision etching technologies of various materials are required. Some promising atomic layer etching processes use the formation of a halogenated layer on a metal surface and an adsorption layer of an organic molecule. If one uses ion irradiation to achieve etching anisotropic in atomic layer etching (ALE), it is necessary to reduce the incident ion energy and minimize damage formation to the surface. It is therefore important to understand surface reactions induced by low-energy ion irradiation. Similarly surface reactions by the irradiation of gas clusters or free radicals are also causes low or no damage to the surface. Therefore such processes may also be used for ALE.

In this study, fluoride layers formed on the surfaces of silicon, copper, nickel and cobalt were evaluated by exposure to XeF2 using XPS. In addition, the process of removing fluoride layers by low energy ions and clusters was discussed. XeF2 was exposed to the cleaned surface of the sample (Si, Cu, Ni, Co) and the chemical state of the surface layer after irradiation by in-situ photoelectron spectroscopy (XPS) was evaluated. The desorption reaction was evaluated by measuring changes in the surface fluorinated layer by irradiation with low-energy Ar ions (30, 50, 100 eV) and Ar cluster ions (3,000 atoms / ion, 3 keV / cluster). A fluoride layer with metal-fluorine bonds was formed on the surface by exposure to XeF2. The fluoride layer formed on the Ni surface was almost saturated at 1000 L exposure. The adsorption process was a self-limiting process. The fluoride layer was removed by Ar + ion irradiation with an energy of 30 eV. In this single step of ALE, the substrate surface was etched and the etched depth was limited to the depth of the fluoride layer.

5:00 PM ALE2-MoA-15 Optimization of Atomic Layer Etch Process for Fabrication of Dual Barrier GaN-based Power Device using in-situ Auger Spectrometric Surface Ananlysis
Xu Li, Haiping Zhou, Konstantinos Floros, Sung-Jin Cho, Dilini Hemakumara, David Moran, Iain Thayne (University of Glasgow, UK)

ALE (Atomic Layer Etch) as a precisely etching technique removes very thin layers of materials has attracted extensive attentions in semiconductor processing, which is particularly suitable for fabricating GaN-based e-mode power devices in cascode module. For GaN-based power transistors employing a gate recess process, ALE has the potential to offer the etching precision required to precisely control device threshold voltage. In this work, an ALE process developed for etching group III nitrides in a dual barrier device materials consisting of a 2 nm GaN cap layer, a 4-8 nm InAlN barrier layer, another 1 nm GaN cap layer for the following 3 nm AlGaN barrier layer above a 250 nm GaN channel and 4 µm C-doped buffer layer on Si wafer grown by MOCVD based on a cycled procedure of HBr bromination of the semiconductor surface followed by argon plasma removal of the modified surface layer has been optimized using i n-situ Auger spectrometric analysis which supplies the information of the etching progress through different layers in the device materials via analyzing the surface composition during ALE processing.

A cluster tool from Oxford Instrument Plasma Technology has been used for ALE etching and in-situ analysis, which includes (amongst other process chambers and in-situ metrology) an inductively coupled plasma (ICP) etching chamber with repeat loop function, a basic requirement of an ALE process, and an Scienta Omicron NanoSAM surface analysis tool (including an Auger spectrometer). The clustered arrangement enables samples and wafers to be subjected to plasma processing before transfer into the analysis chamber under vacuum. The etching chemistry was based on the formation of self-limited Ga, In and Al bromides on the sample surface as a consequence of exposure to a short HBr gas pulse in the plasma etch chamber. This modified surface was then removed using an Ar plasma with an optimized RF power level which removes only the surface bromides and is not going to induce damage to the underneath materials. Etching could be suspended at any ALE steps in order to transfer the sample into analysis chamber to carry out Auger spectrometric analysis for determining which layer the etching was in. Experiments showed that the Auger spectrum could clearly and sensitively indicate the layer where the etching is going on, which is useful in fabricating e-mode transistors in the cascode module devices.

5:15 PM ALE2-MoA-16 ALE to Enable Memory Scaling
Alex Schrinsky, Craig Huffman, Mike Koltonski, Aaron Wilson (Micron)

Aggressive pitch scaling for both DRAM and non-volatile memory devices is pushing etch loading and precision control outside the limit of conventional continuous subtractive etch processing. Extreme control in removal and selectivity is required to enable forward looking devices. Atomic Layer Etching (ALE) techniques propose to achieve control at an atomic scale and could offer solutions to many of the key scaling challenges now faced by developers of next Gen IC’s.

Session Abstract Book
(275KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule