ALD2018 Session ALE1-TuA: Applications for ALE

Tuesday, July 31, 2018 1:30 PM in Room 104-106

Tuesday Afternoon

Session Abstract Book
(253KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
1:30 PM ALE1-TuA-1 Mechanism of HF Pulse in the Thermal Atomic Layer Etch of Al2O3
Suresh Kondati Natarajan (Tyndall National Institute, Ireland); Simon D. Elliott (Schrödinger, Inc., Ireland)

Alumina has excellent electrical insulation properties, which makes it an important material in the fabrication of semi-conductor devices. As the critical dimensions of such devices are decreasing rapidly, advanced material processing techniques with atomic precision have become necessary. Recently, a thermal atomic layer etch (ALE) process for alumina has been reported where HF and Sn(acac)2 / tri-methyl aluminium (TMA) are used as precursors. Thermal ALE is different from conventional ALE in using thermally activated ligand exchange reactions for material removal instead of energetic ion bombardment or plasma. In the ALE of alumina, the HF pulse modifies alumina surface by forming a non-volatile AlF3 layer and the subsequent Sn(acac)2/ TMA exposure exchanges ligands with the surface resulting in the formation of volatile etch products.

In this talk, insights in to the mechanism of HF adsorption on alumina will be provided with the help of first principles calculations using density functional theory. On adsorption, a HF molecule dissociated spontaneously at the surface of alumina and formed surface bound Al-F and O-H species. At higher coverages, a hydrogen bonded network of dissociated and intact HF molecules was observed at the alumina surface. Partial dissociation of the adsorbed HF molecules was found to be energetically favorable than the dissociation of all adsorbed molecules. Energetic barriers ranging from +0.9 eV to +1.8 eV were found to be impeding the formation of water molecules. The desorption energy of water molecules were found to be ranging from +0.2 eV to +1.2 eV. Finally, based on the saturated coverage of dissociated F atoms (13.7 ± 0.3 F/nm2), the theoretical maximum of the etch rate was estimated to be -1.28 ± 0.03 Å/cycle.

1:45 PM ALE1-TuA-2 Low-K Dielectric Etch Challenges at the 7nm Logic Node and Beyond: Continuous-wave vs. Quasi-atomic Layer Plasma Etching Performance Review
Yen-Tien Lu (Tokyo Electron)

For logic nodes beyond 7nm, back-end-of-line (BEOL) patterning critical pitch is less than 40nm, which has direct impacts on low-K etch process window. As the pitch is reduced, RIE lag becomes more significant resulting in wider trenches having a faster etch rate compared to narrower trenches (Figure 1). Hence, the trench profile, via CD and height, and chamfer angle will vary in trenches depending on their width. Hard mask selectivity also becomes a concern for the dense trenches where the hard mask at smaller dimensions is more significantly affected by sputtering. Recently, the concept of atomic layer etching and quasi-atomic layer etch (Q-ALE) has been widely used in various applications for high selectivity requirements and ideal bottom trench profile engineering1,2. However, little studying has been done on sub 40nm pitch BEOL dielectric etch with Q-ALE.

In this work, we investigate the impacts of Q-ALE on low-K materials compared to continuous wave (CW) plasma and pulsed plasma. We demonstrate that Q-ALE can be successfully used to suppress RIE lag in BEOL low-K materials at advanced pitches. In order to minimize low-K damage generated during the etching process, an inverse RIE lag process was also developed. These processes can be used with conventional etching processes to compensate RIE lag and result in optimum process performance (Figure 2). In addition, Q-ALE technique shows improved hard mask selectivity and results in lower pattern roughness. Finally, combining Q-ALE and CW processes can minimize wafer throughput impact from Q-ALE processes, which usually take significantly longer than CW processes (Figure 3).

References:

1 S. D. Sherpa and A. Ranjan, J. Vac. Sci. Technol. A, 35, 01A102, (2017)

2 M. Wang, P. L. G. Ventzek, and A. Ranjan, J. Vac. Sci. Technol. A, 35, 03130, (2017)

Keywords: RIE lag, quasi-ALE, pulsed plasma, low-K damage

View Supplemental Document (pdf)
2:00 PM ALE1-TuA-3 Precise Etching Profile Control by Atomic-scale Process
Yoshihide Kihara, Takayuki Katsunuma, Masahiro Tabata, Toru Hisamatsu, Masanobu Honda (Tokyo Electron Miyagi Ltd., Japan)

In recent years, with the progress of device miniaturization and increased challenges in the scale of integration as semiconductor devices, fine control of the surface reactions is required in the fabrication processes. In self-aligned contacts (SAC) process, ultra-high selectivity of SiO2 etching towards SiN is required, for which we developed Quasi-ALE technique for SiO2 etching. Quasi-ALE precisely controls the reaction layer thickness of the surface, by controlling the radical and ion flux independently. In Quasi-ALE, adsorption step contains radical supply onto the surface and activation step contains etching by ion bombardment. Quasi-ALE was able to improve the conventional trade-off between the etching ability on the micro slit portions and SiN selectivity in the SAC process [1].

We have advanced Quasi-ALE technology for control of etching profile. The advanced technology is called as rapid advanced cyclic etching (RACE) process. The RACE process is divided by process gas into several steps that have a different purpose as a function of each process gas. As a result, we can easily set optimum radical and ion fluxes and ion energy for each step. Therefore, RACE process enables even more precise control of the surface reactions.

TiN mask pattern is transferred to the underlying SiO2 and low-k film in metal hard mask trench process of BEOL where TiN mask selectivity, chamfer profile control and critical dimension (CD) variation at wafer very edge are the big patterning challenges. In the conventional method, the process results show that there is a trade-off between TiN mask selectivity and chamfer profile. To address this issue, RACE process was adopted in BEOL trench process. With this method, we were able to control the chamfer profile while keeping a low TiN mask loss. This is because RACE process achieves very fine control of the surface reactions by maximizing the effect of each step in RACE process. In addition, RACE process was able to reduce the CD variation at wafer very edge. This is demonstrating that RACE process is effective technique for not only the etching performance but also the CD uniformity.

On the other hand, we developed new CD shrinking technique without CD loading that combines Atomic Layer Deposition (ALD) and etching [2]. To control etching profile precisely, ALD was adopted to various applications. In the presentation, we will introduce some etching performances.

1. M. Honda, T. Katsunuma, M. Tabata, A. Tsuji, T. Oishi, T. Hisamatsu, S. Ogawa and Y. Kihara, J. Phys. D: Appl. Phys. 50, 234002 (2017)

2. M. Honda, “New Innovative Dielectric Etching Approaches by Controlling the Surface Reaction at Atomic-Level” 4th ALE 2017

Session Abstract Book
(253KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule