ALD2018 Session AF1-WeM: Plasma ALD I

Wednesday, August 1, 2018 8:00 AM in Room 113-115

Wednesday Morning

Session Abstract Book
(311KB, May 5, 2020)
Time Period WeM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
8:00 AM AF1-WeM-1 Role of Low and Medium Energy Ions in PEALD Processes
Marceline Bonvalot, Christophe Vallée, Samia Belahcen, Vincent Pesce, Ahmad Chaket (LTM-UGA, France); Rémy Gassilloud (CEA-Leti, France); Patrice Gonon, Ahmad Bsiesy (LTM-UGA, France)

Plasma assistance in ALD has proven to be of primary importance for the production of materials with improved properties as compared to standard ALD. Indeed, Plasma Enhanced ALD (PE-ALD) enables efficient impurity removal, improved control of film stoechiometry, higher deposition rates through reduction of purge time, lower substate temperatures and a wider choice of precursors. Moreover, ionic or radical species produced in the plasma can induce reactions which cannot occur under standard thermal ALD conditions. The plasma step in PEALD can also be used as a method for in-situ surface treatment to modulate the nucleation time of precursors on different substrates. This last point has proven to be attractive for the direct selective growth on patterned surfaces [1].

But high energy ion bombardment from the plasma may also induce damages on materials properties and make it difficult to obtain conformal films in high aspect ratio features, thus loosing a key ALD asset. This can be limited with remote plasma sources or by using an intermediate grid between the two electrodes of a capacitive discharge. However, medium/low energy ions and associated fluxes are thereby significantly reduced, even if they may positively contribute to materials properties.

In PECVD, this problem is solved with ICP sources and substrate biasing, but a similar set-up in PEALD has been seldom reported: Profjit et al [2] have shown that substrate biasing in PEALD helps tailor metal oxide properties. Here, we have investigated the specific impact of low/medium energy ions (10-100 eV) on 15 nm TiN and TiO2 properties for microelectronic applications. These investigations have been carried out in a FlexAL PEALD tool equipped with an ALE (Atomic Layer Etching) bias system developed by Oxford. A very low power (1-10 W) can be applied on the substrate to allow low DC self-bias voltage determining ion energies. Plasma active species are identified by in-situ Optical Emission Spectrometry and growth is monitored by in-situ spectroscopic ellipsometry. The impact of ion assistance on TiO2 insulating or TiN conducting properties (measured by 4 point probe) is discussed and related to film microstructural properties (density, crystallinity). Finally, the advantages of ion assistance for conformal thin film processing (mainly metallic) in high aspect ratio structures is presented.

[1] : R. Vallat et al.Journal of Vacuum Science & Technology A 35, 01B104 (2017); doi: 10.1116/1.4965966

[2] : H.B. Profijt et al. ECS Trans. 50 (2013) 23-34

8:15 AM AF1-WeM-2 Energetic Ions during Plasma ALD and their Role in Tailoring Material Properties
Tahsin Faraz, Karsten Arts (Eindhoven University of Technology, Netherlands); Harm Knoops (Oxford Instruments Plasma Technology, UK); Saurabh Karwal, Erwin Kessels (Eindhoven University of Technology, Netherlands)

The contribution of highly reactive radicals towards film growth is a well-known feature of plasma-enhanced ALD (PEALD). On the other hand, the ions generated by the plasma can also play a significant role in PEALD which has been relatively less explored. The extent to which ions can influence the deposition process depends on a variety of ion characteristics. Recently, we demonstrated how material properties of oxides and nitrides grown using PEALD at fixed temperatures can be tailored by controlling ion energies with RF substrate biasing.1 In this contribution, we report on the measurements of ion energy characteristics to investigate their role in tailoring material properties.

We analyzed ion energy distributions (IEDs) of plasmas typically used for PEALD (e.g. O2, H2, N2, etc.) in a commercial 200-mm remote inductively-coupled-plasma (ICP) ALD system equipped with RF substrate biasing. IEDs were measured using a gridded retarding field energy analyzer (RFEA) for plasma exposures without and with substrate biasing. Performing such measurements is essential towards understanding how a given PEALD process can be influenced by energetic ions. PEALD with substrate biasing yielded relatively broad IEDs with low and high energy peaks centered at high energies in contrast to narrow single peaks centered at ≤30 eV observed for PEALD without any biasing. This showed how a growing film surface in RF-biased plasmas is subject to a range of ion energies, ΔE, where the maximum ion energy, Ei,max, can significantly exceed the mean ion energy, <Ei>. On the basis of such studies, we determine the ion energy regimes that induce changes in material properties at a constant deposition temperature. Furthermore, varying the duration of RF substrate biasing applied during plasma exposure can alter the total energy dose of ions per cycle, Ei,dose, which was also demonstrated to be a factor influencing the deposition process.

Analyzing growth rate and properties (e.g., density, refractive index, resistivity, etc.) of several materials as a function of the aforementioned parameters derived from IEDs has provided more insight on the relation between ion characteristics and the ensuing properties, e.g., identifying ion energy thresholds between property improvement and degradation. Datasets will be presented for relevant material examples illustrating how controlling ion energy characteristics during PEALD provides a platform for synthesizing thin-films with desired properties.

1Faraz et al., Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced ALD on Planar and 3D Substrate Topographies, ACS Appl. Mater. Interfaces 10, 13158 (2018)

View Supplemental Document (pdf)
8:30 AM AF1-WeM-3 Role of Plasma Chemistry on Structure and Properties of Low Resistivity PEALD TiN Films
Igor Krylov, Dan Ritter, Moshe Eizenberg (Technion - Israel Institute of Technology, Israel)

Titanium nitride (TiN) is probably the most popular metal grown by atomic layer deposition (ALD). The commonly used titanium precursors for TiN ALD growth are metalorganic compounds and volatile halides. Halide precursors (e.g. TiCl4) allow deposition of highly crystalline TiN films with low resistivity at both thermal activated (~200 µOhm∙cm) and plasma-enhanced (~150 µOhm∙cm) regimes. However, the deposited films suffer from halide contamination leading to degradation of microelectronic devices. At the same time, halide contamination isn't present in TiN films deposited by metalorganic precursors. However, these films are often amorphous (or with poor crystallinity) and exhibit high oxygen contamination. Consequently, such films result in higher electrical resistivity, compared to the films deposited using the halide precursors.1

Recently, we reported plasma enchased (PE) ALD process resulting in low resistivity (~100 µOhm∙cm) TiN film. These films were deposited from the TDMAT precursor and N­2/Ar plasma mixture.2 Such resistivity is comparable or even lower than that reported for PEALD processes using halogen based precursors.1

Here, we will report the effect of reactive gas (N2, NH3, H2 or N2/H2) on the structure and properties of PEALD TiN films, grown from the TDMAT precursor. We will show that grain orientation of TiN can be tuned by both plasma chemistry and substrate choice. Consequently, deposition of either (001) or (111) single oriented TiN films may be obtained (Fig. 1 and 2). Such single oriented TiN films serve as effective "seed" layers for subsequently grown ALD films (Fig. 3). Effect of substrate (Al2O3, HfO2, TiO2, Ta2O5, MoOx, WO3, SiO2) and plasma chemistry on the preferential orientation of TiN films will be discussed (Fig. 4). Based on experimental data, a qualitative model of TiN nucleation and growth will be presented for the PEALD process.

Plasma gas has a strong effect on TiN film quality (Fig. 5). The lowest resistivity of ~80 µOhm·cm was obtained for TiN films deposited from NH3 plasma. In addition, NH3 plasma results in the highest TiN deposition rate. The superior characteristics achieved by NH3 plasma will be discussed.

References:

1 V. Miikkulainen, M. Leskela, M. Ritala and R.L. Puurunen, J. Appl. Phys. 113, 021301 (2013)

2 I. Krylov, E. Zoubenko, K. Weinfeld, Y. Kauffman, D. Ritter, and M. Eizenberg, J. Vac. Soc. Technol. A (in preparation, 2018)

View Supplemental Document (pdf)
8:45 AM AF1-WeM-4 Effect of Oxygen Plasma and Growth Temperature on the Densification of Plasma-Enhanced Atomic Layer Deposited Silicon Dioxide Film
Donghyuk Shin, Heungseop Song, Heungsoo Park, Dae-Hong Ko (Yonsei University, Republic of Korea)

Silicon dioxide (SiO2) has been widely used in silicon-based electronics for various applications. In particular, plasma-enhanced atomic layer deposition (PE-ALD) technology for SiO2 film has attracted attention due to its high film uniformity, conformality and excellent step coverage compared to conventional thermal oxidation process. In addition, plasma exposure step in PE-ALD cycle enabled a low-temperature process by promoting the chemical reaction between the reactant gas and precursor. However, film properties of plasma-enhanced atomic layer deposited low-temperature SiO2 such as wet etch rate (WER) differs from high-temperature thermal oxide. Several researchers have proposed post thermal annealing or plasma treatment to improve the quality of PE-ALD SiO2 film [1,2]. Nevertheless, it is not clear yet how oxygen plasma treatment or growth temperature affects the densification of the PE-ALD SiO2 films, especially at low temperatures of 200°C or below.

In our experiments, PE-ALD SiO2 films, using Di-isopropylaminosilane (SiH3N(C3H7)2), namely DIPAS) as a Si source, were deposited on Si (100) substrates at various temperature of 50, 100 and 200°C with different in-cycle O2 plasma (RF, 27.12MHz) time. O2 plasma power was 400W and reactor pressure was maintained at 2 torr. Post plasma treatment was also executed to examine the film densification effect.

The thickness of SiO2 films were measured by Spectroscopic ellipsometry (alpha-SE model, J. A. Woollam Co. Ltd.) to evaluate growth per cycle (GPC) and WER. Wet etch test was carried out using 100:1 dilute hydrofluoric (DHF) solution maintained at 22°C and a WER of a thermal oxide was found to be 1.0 Å/sec. As shown in Fig. 1, GPC of the SiO2 film decreases as with the process temperature and in-cycle O2 plasma time. WER also decreased with increasing process temperature and in-cycle plasma time, showing much greater decrease along with in-cycle plasma time. In addition, X-ray reflectivity (XRR) analysis was conducted to confirm the film thickness measured with Ellip sometry, and to further obtain the film density. As the wet etch rate decreased with increasing growth temperature or plasma treatment time, the density of PE-ALD SiO2 film increased slightly from 2.20, generally similar to the film density value of silicon dioxide, to 2.34. Our study discusses the impact of oxygen plasma treatment and growth temperature on PE-ALD SiO2 film properties such as GPC, WER, and density.

Reference

[1] D. Hiller et al., J. Appl. Phys. 107, 064314 (2010).

[2] Kim et al., J. Vac. Sci. Technol. A 33, 1 (2015).

Fig 1. WER and GPC of PE-ALD SiO2 films along with varying in-cycle plasma time and growth temperature.

View Supplemental Document (pdf)
9:00 AM AF1-WeM-5 On the Co-reactant for Atomic Layer Deposition of Metals: Hydrogen/Nitrogen-based Plasmas for Cobalt ALD
Martijn Vos, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, Netherlands)

The choice of the co-reactant used in an ALD process is critical, since it greatly affects the properties of the deposited material as well as the technological feasibility of the process. For metal ALD, a wide range of gases and chemicals have been explored as co-reactants, with O2, H2, and NH3 being the most common choices (both in thermal and plasma ALD). In this work, it is investigated in detail what the effect of the co-reactant is on the ALD behavior, material properties and reaction mechanism for Co ALD. A connection is made between the plasma composition and the properties of the deposited layers, thereby gaining detailed insight in the influence of the various plasma species.

Cobalt is a transition metal which is receiving increasing interest, mainly for applications in metal interconnects. Co is used as liner, thereby replacing the conventional Ta, while it is also being explored as local interconnect or contact material (replacing Cu or W). Here, we address ALD of Co using the CoCp2 precursor and different hydrogen- and nitrogen-based plasmas.1,2 In particular, an AB (i.e. two-step) process with NH3 plasma, an AB process with H2/N2 plasma and an ABC process with sequential H2 plasma and N2 plasma are compared.

Using quadrupole mass spectroscopy (QMS), H2, N2 and NHx are identified as the main species in both the NH3 and H2/N2 plasma, used for the AB processes. In addition, the release of HCp (C5H6) is observed during the plasma exposure of both AB processes. This is in contrast to the ABC process, where fragments of the Cp ring are detected. Furthermore, it has been found that both the NH3 plasma and the H2/N2 plasma result in high-purity Co films. On the other hand, films deposited using the ABC process contain considerable amounts of C, O and N contamination (5-8 at.%). This difference in material properties suggests that the presence of NHxspecies in the plasma is required for effective impurity removal. Moreover, variation of the H2/N2 ratio in the H2/N2 gas mixture shows that the film purity and resistivity are correlated to the amount of NHx in the plasma. Overall, the results indicate that the choice of co-reactant is important for obtaining high-quality Co films and that NHx species play a crucial role in the ALD growth.

9:15 AM AF1-WeM-6 Plasma-enhanced Atomic Layer Deposition of Tungsten Films using Tungsten Chloride Precursor
Hyungjun Kim, Seunggi Seo, Yujin Lee, Il-Kwon Oh (Yonsei University, Republic of Korea); Bonggeun Shong (Hongik University, Korea)

Tungsten (W) is a hard, refractory, and relatively inert metal, with widespread applications such as making filaments, filling contact holes and vias in microelectronic circuits, high-temperature technology, medicine, aviation, military uses, sport equipments. Atomic layer deposition (ALD) is a vapor phase thin film deposition technique based on sequential, self-limited surface reaction between chemical species. ALD enables deposition of thin films with high material quality, good uniformity, high conformality, and sub-nanometer thickness controllability. Furthermore, plasma-enhanced ALD (PE-ALD) which uses radicals as a reactant has been investigated as an attractive deposition method in terms of processing temperature and film quality. The most commonly used precursor for vapor deposition of W is WF6, a highly reactive gas that often produces toxic hydrofluoric acid (HF) as a byproduct. WF6 is also reactive toward common semiconductor device materials such as Si, Al, or Ti, and thus can lead to spontaneous etching during device fabrication. Therefore, fluorine-free tungsten precursors have recently received attention. In this work, we fundamentally investigated PE-ALD process of W on SiO2 substrate, using tungsten chloride (WClx) precursor and hydrogen plasma. Various analytic techniques such as X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), rutherford backscattering spectrometry (RBS), 4-point probe, and field emission scanning electron microscopy (FE-SEM) were utilized, as well as density functional theory (DFT) calculations. Our PE-ALD W process showed typical ALD growth characteristics with a growth rate of 0.24 Å/cycle. W thin film deposited by our process showed low Cl impurity (< 1%) and low resistivity (~ 5.22 x 10-4 Ωcm).

9:30 AM AF1-WeM-7 Tailoring Molybdenum Carbide Properties by Plasma and Ion Energy Control during Plasma Enhanced ALD
Ageeth Bol, Eldad Grady, Marcel Verheijen, Tahsin Faraz, Saurabh Karwal, Wilhelmus Kessels (Eindhoven University of Technology, Netherlands)

Molybdenum carbide (MoCx) exhibits a high mechanical and thermal stability together with a metallic like electrical conductivity, making it attractive for various applications. As an IC diffusion barrier MoCx is ideally amorphous and dense, while for superconductivity the cubic δ-MoC0.75 shows the highest transition temperature (14.3 K) for MoCx films.1 The ability to separately control the crystallinity and density of MoCx allows for tailoring film properties to the specific application. In this work, we present the first extensive study on how film density and crystallinity of MoCx can be tailored independently during plasma-enhanced ALD (PEALD) by controlling either the plasma exposure time or the ion energy.2 We investigated MoCx films grown using (tBuN)2(NMe2)2Mo as the precursor and H2/Ar plasma as the co-reactant at temperatures between 150°C and 300°C.

PEALD of MoCx at 300°C using a plasma time of 20s yielded a mass density of 7.0 g/cm3, which increased to 8.0 g/cm3 upon increasing plasma time to 80s, while film crystallinity remained relatively unchanged. The trend in mass density was reflected by a significant decrease in film porosity and resistivity (272 to 143 µΩ−cm) which was also accompanied by a corresponding change in material composition owing to a decrease in C/Mo ratio (0.96 to 0.78) and film [N] content. In order to investigate the effects of varying the energy of ions impinging on the substrate, a radio-frequency bias voltage was applied to the substrate in the 20s plasma exposure step at 300°C. The measured mean ion energy increased from 25 eV for non-biased plasma to 125 eV with -100V bias. The elevated ion energy resulted in a higher mass density of 8.2 g/cm3 together with an increase in film crystallinity, with crystallite size doubling in comparison to non biased deposition. The increase in density and crystallinity was reflected by a decrease in film porosity, resistivity (272 to 143 µΩ−cm) and C/Mo ratio (0.96 to 0.79). The effects of varying temperature and ion energies at 300°C on the film chemical and physical properties will be discussed.

From these and other results to be reported, it can be concluded that longer plasma time densifies the film but has little effect on crystallinity, while substrate bias densifies and crystallises the MoCx film. Both longer plasma exposure and bias are successful in mitigating impurities in the film and lowering the film resistivity. This work opens new processing opportunities at low temperatures for the integration of tailor-made MoCx thin films into a wide range of applications.

1 Sathish et al., Solid State Comm. 177, 33 (2014)

2 Profijt et al., JVSTA, 31, 01A106 (2013)

View Supplemental Document (pdf)
9:45 AM AF1-WeM-8 Atmospheric-Pressure Plasma-Enhanced Spatial ALD of In2O3:H
Yves Creyghton, Anusha Varanasi, Fred Roozeboom, Pieter-Jan Bolt, Paul Poodt (Holst Centre - TNO, Netherlands)

Hydrogen-doped indium oxide (In2O3:H), a transparent conductive oxide first developed in 2007, has recently attracted strong attention as a replacement for tin doped indium oxide because of its high mobility (>100 cm2/Vs) and high transparency (>90%) in the visible region of the spectrum. Sputtered In2O3:H has been demonstrated successfully but one of the challenges remaining is the damage induced by energetic ion-bombardment which may give rise to point defects [1]. High-quality In2O3:H layers were obtained previously using time-sequenced ALD at 100°C with cyclopentadienyl indium and a mixture of H2O and O2 followed by solid phase crystallization at 150-200°C in N2 [2].

In the scope of large-area and high-throughput applications at low temperature we developed a plasma enhanced spatial ALD process for In2O3:H using tri-methyl indium (TMI) as precursor and a new type of Dielectric Barrier Discharge (DBD) plasma source for the supply of reactive co-reactants. The DBD plasma is generated very proximate (<200 µm) to the substrate without interacting electrically with the substrate. The highly uniform line-shaped plasma provides high concentrations of radicals while neutral gas and ion temperatures remain low. Depositions have been realized using a rotary spatial ALD reactor. Layer growth, electrical, optical and structural properties were investigated as a function of gas composition (H2, H2O, O2 and N2), gas flow rate and temperature in the range 100-200°C.

Best results without post-annealing were obtained in H2O-H2-N2 plasma at temperatures above 140°C which is just above the transition temperature from amorphous to polycrystalline growth. The thickness of ~140 nm (1600 cycles) as determined by spectroscopic ellipsometry has less than 3% non-uniformity for films deposited at 150°C. Carrier mobility values as high as 85 cm2/V.s for films grown at 150°C and 110 cm2/V.s at 175°C with resistivity values of only 10-3 Ω.cm have been determined by Hall measurements. A transparency of ~90% in the visible region of the spectrum and ~85% on average was observed in the spectral range of 300-1800 nm for temperatures in the 150-175°C range. XRD and SEM analysis of the thin films showed that the layers deposited are polycrystalline. The average size of the crystals increases from ~35 nm to ~70 nm with increasing temperature. Current research is focused on the optimization of the thermal budget including options offered by solid-phase crystallization of amorphous layers.

[1] B. Demaurex et al., Appl. Phys. Lett. 101 (2012) 171604.

[2] B. Macco, ACS Appl. Mater. Interfaces 7 (2015) 16723-16729.
Session Abstract Book
(311KB, May 5, 2020)
Time Period WeM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2018 Schedule