ALD2017 Session ALE-SaP: Atomic Layer Etching Poster Session

Saturday, July 15, 2017 6:00 PM in Room Plaza Exhibit

Saturday Evening

Session Abstract Book
(388KB, May 5, 2020)
Time Period SaP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2017 Schedule

ALE-SaP-1 Quasi-Atomic Layer Etching of Silicon Nitride with Tunable Directionality and Ultra-high Selectivity
Sonam Sherpa, Alok Ranjan (Tokyo Electron)

Self-limiting etch processes have emerged as a viable approach to address the challenges associated with continuous or quasi-continuous plasma processes. To this end, we have previously reported the quasi-atomic layer etching of silicon nitride via sequential exposure to hydrogen and fluorinated plasma1 . Our work was preceded by Posseme et al. who reported the etching of silicon nitride via exposure to hydrogen plasma followed by dilute hydrofluoric acid (DHF) treatment2. Commonality between the two approaches is the increase in reactivity of silicon nitride after the exposure to hydrogen plasma and the underlying assumption was the implantation of hydrogen ions into silicon nitride.

In this talk, we will demonstrate that similar enhancement in reactivity of silicon nitride can also be attained via diffusion of hydrogen atoms into silicon nitride. Comparison of the self-limiting etch via ion-implantation versus diffusion offers new insights into the mechanism for the increase in reactivity of silicon nitride after hydrogen plasma treatment. This process is isotropic and we will demonstrate its feasibility to etch silicon nitride encapsulation layer selective to underlying silicon, silicon oxide, and other materials. These results complement our previous work that reported the anisotropic version of this process1 and, thus, confirm the realization of self-limiting etch of silicon nitride with tunable directionality and ultra-high selectivity.

1 S.D. Sherpa and A. Ranjan, J. Vac. Sci. Technol. A 35, 01A102 (2017).

2 N. Posseme, O. Pollet, and S. Barnola, Appl. Phys. Lett. 105, 2 (2014).

ALE-SaP-2 Atomic Layer Etching with Gas Cluster Ion Beam Irradiation in Reactive Gas Vapor
Noriaki Toyoda (University of Hyogo, Japan); Akihiro Ogawa (University of Hyogo); Isao Yamada (Univeristy of Hyogo)

Atomic layer etchings (ALE) with gas cluster ion beam (GCIB) irradiation in reactive gas vapor were investigated for the first time. Since the kinetic energy of gas cluster ions is shared by thousands of gas atoms or molecules, energy/atoms or energy/molecules can be easily reduced to several eV. As a result, low-damage surface modification is promoted. In additions, since gas cluster ions realize dense energy deposition without severe damage, chemical reaction enhancement at low substrate temperature is expected. By using these characteristics, ALE by GCIB irradiation was studied in this paper. In this study, ALE of Cu films with oxygen GCIB irradiation in acetic acid vapor were investigated. We separated each etching steps as following; (1) adsorption of acetic acid on Cu, (2) evacuation of residual acetic acid vapor, (3) reaction between acetic acid and Cu and subsequent removal of surface Cu layer by oxygen GCIB irradiations. Effects of the following etching parameters on ALE were investigated from real-time Cu thickness on a quartz crystal monitor; acceleration voltage of oxygen GCIB, irradiation time of GCIB, partial pressure of acetic acid, exposure time of acetic acid.

During a one cycle of ALE of Cu with oxygen GCIB in acetic acid vapor, very thin layer of adsorbed acetic acid was formed on Cu surface in the first place. Acetic acid remained on Cu surface after evacuation of residual acetic acid. Subsequently, chemically altered Cu on the surface layer were removed with oxygen GCIB irradiation. In the case of 20 kV oxygen GCIB irradiations, Cu atoms beneath surface layer were also physically sputtered after removal of the chemically modified layer. Consequently, the etching process with 20 kV oxygen GCIB was not self-limiting. It was also observed that there was surface smoothing of Cu by lateral sputtering effects, which arise from physical sputtering of GCIB. On the contrary, surface Cu atoms with adsorbed acetic acid were reactively removed by 5 kV oxygen GCIB as same as the case by 20 kV oxygen GCIB, however, Cu atoms beneath layer were not physically sputtered at 5 kV. Therefore, the etching with 5 kV oxygen GCIB is self-limiting, which is crucial for ALE. Since there are various combination between adsorbed molecules and target materials, it is expected that ALE with GCIB will be applicable for various materials.

View Supplemental Document (pdf)
ALE-SaP-3 Thermal Atomic Layer Etching of TiO2 using Sequential Exposures of WF6 and BCl3
Paul Lemaire, Gregory N. Parsons (North Carolina State University)

Controlled thin film etching is essential for further development of sub-10 nanometer semiconductor devices. Vapor-phase thermal etching of oxides is appealing for achieving highly conformal etching of high aspect ratio features. We show that tungsten hexafluoride (WF6) dosing or sequential WF6 and boron trichloride (BCl3) exposures can be used for chemical vapor or atomic layer etching (ALE) of oxides. In particular, these processes were observed to selectively etch titania (TiO2) films versus other oxides including alumina (Al2O3) because of different volatility of metal fluorides. The etch process was observed to be temperature dependent, with the etch rate decreasing as the temperature was reduced from 220°C because of reduced volatilization of the metal fluoride and WF2O2. XPS shows evidence for a WOxFy layer that forms on of the TiO2 films during the etch process. In addition, the incorporation of BCl3 enables the volatilization of the WOxFy, for controlled etching at lower process temperatures (170°C). Using quartz crystal microbalance (QCM) analysis, we further investigate how the reactant exposure times and process temperature affects the relative etch rate per cycle for the WF6/BCl3 process.

ALE-SaP-4 Etch Profile Control of ALD-SiO2 Film Assisted by Alternating ALE Process of Fluorocarbon Deposition and O2 Plasma Etching
Masaru Zaitsu (ASM, Japan); Takayoshi Tsutsumi (Nagoya University, Japan); Akiko Kobayashi (ASM); Hiroki Kondo, Masaru Hori (Nagoya University, Japan); Toshihisa Nozawa, Nobuyoshi Kobayashi (ASM)

It is demanded to form not only conformal film by ALD but also any desired shape on patterned structures with the assistance of ALE for advanced devices fabrication. We have recently developed a novel ALE of SiO2 based on alternating process of fluorocarbon deposition and O2 plasma etching, which features saturated etching thickness per cycle for both the fluorocarbon deposition time and O2 plasma etching time. In this time we demonstrate the profile control of ALD SiO2 film by controlling etch conformality of this ALE process.

Conformal SiO2 film is deposited on a Si trench by plasma-enhanced ALD and then followed by the ALE with 60 MHz CCP type etching chamber. We investigate the etch conformality (EC) which is defined as the ratio of the etched thickness of sidewall film and that of top film with varying the ALE process parameters. A standard condition results EC of 33% as shown in Figure 1, which shows relatively anisotropic etching. On the other hand, a different condition using Ar/O2 as the etching plasma gas instead of O2 plasma shows EC of >100%, where sidewall film is etched while almost no etching on top film. This is because more carbon polymer is formed only on top due to anisotropic ion bombardment and it prevents etching top SiO2 film. EC can be controlled depending on plasma gas and further tuned by varying process parameters such as pressure, RF power, and substrate bias. View Supplemental Document (pdf)
ALE-SaP-5 In Situ Mass Spectrometer Studies of Volatile Etch Products During Thermal Al2O3 Atomic Layer Etching Using HF and Trimethylaluminum
Joel Clancey, Steven M. George (University of Colorado - Boulder)

The technique for removing atomic layers using sequential, self-limiting reactions known as atomic layer etching (ALE) offers a new level of etching precision. This atomic precision is needed by the semiconductor industry as advanced devices continue to scale to the 10 nm technology node and beyond. Thermal ALE using sequential, self-limiting reactions is emerging as a new technique capable of isotropically etching challenging 3D and high aspect ratio device architectures.

Thermal ALE has been developed for Al2O3, HfO2, ZrO2, ZnO and AlN based on sequential fluorination and ligand-exchange reactions. For many of these ALE systems, the surface reactions during thermal ALE have been characterized by in situ quartz crystal microbalance (QCM) and FTIR spectroscopic measurements [1, 2]. These studies have identified the mass changes and surface species during thermal ALE. The volatile etch products have also been inferred based on the mass changes and surface species. However, these volatile etch products have not been directly confirmed using mass spectrometry.

In this presentation, we describe a new ALE reactor with an in situ quadrupole mass spectrometer (QMS) with high resolution and mass range. A schematic of this new ALE reactor is given in the supplemental figure. This new apparatus is then used to investigate the thermal ALE of Al2O3 using HF and trimethylaluminum (TMA) as the reactants [1]. We can identify the volatile etch products during each HF and TMA reaction. The volatile etch products for the fluorination and ligand-exchange reactions evolve during the reactant exposures and present some surprises.

[1] Younghee Lee, Jaime W. DuMont and Steven M. George, “Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions”, Chem. Mater. 28, 2994 (2016).

[2] Younghee Lee, Jaime W. DuMont and Steven M. George, “Atomic Layer Etching of HfO2 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and HF”, ECS J. Solid State Sci. Technol. 4, N5013 (2015).

View Supplemental Document (pdf)
ALE-SaP-6 Cyclic Plasma Cleaning Process of SiO2 Layers using Surface Fluorination
Kyongbeom Koh, Heeyeop Chae (Sungkyunkwan University (SKKU), Republic of Korea)

Controlling impurities and particles is an important factor in determining the performance and yield of semiconductor devices. However, it becomes more challenging as the critical dimension shrinks. Many contaminants such as organic materials, inorganic materials and native oxide are generated in etching process. Among them, especially, native oxide can have an adverse effect on electronic devices. It can create higher contact resistance, which makes the IC inoperable. Other disadvantages of native oxide involve leakage current on gate oxide and inadequate formation of silicide in devices.[1] Various wet cleaning processes are mainly applied to remove the native oxide.[2-3] However, the conventional wet cleaning processes start show limitations in some applications. Therefore, various dry cleaning processes are actively being investigated in order to overcome the limitations of the wet cleaning processes in nanoscale patterns.[4]

In this work, cyclic plasma process was studied for SiO2 (native oxide) removal with surface fluorination in an inductively coupled plasma (ICP) reactor with CHF3, CF4, Ar, O2 chemistries. The cleaning rate of cyclic process was found to be lower than that of direct process, so we studied cyclic process for SiO2 cleaning than direct process. The cyclic plasma cleaning process is composed of two steps. The first one is fluorination of SiO2 surface by fluorocarbon radicals which are generated from CHF3 or CF4 plasmas. The other step is removal of fluorinated SiO2 layers by ions and radicals which are generated from O2 or Ar plasmas. Formation of fluorocarbon layer on SiO2 surface was confirmed by SEM and XPS analysis. Cleaning rate was investigated by varying bias voltage and ion density by monitoring processes with a VI probe and an ion monitor. It is also confirmed that the cleaning rate becomes self-limited as the fluorination and cleaning time increase. Cleaning rates were compared at various process conditions and the cleaning rate could be controlled under 10 Å/cycle.

References

[1] W.-S. Kim, W.-G. Hwang, I.-K. Kim, K.-Y. Yun, K.-M. Lee and S.-K. Chae, Solid State Phenomena, Vols. 103-104 (2005) pp 63-66

[2] J. Ruzyllo, D. C. Frystak and R. A. Bowling: Intern. Eletron. Dev. Meet. 16.2.1 (1990) p409

[3] J. Kikuchi, M. Iga, H. Ogawa, S. Fujimura and H. Yano: Jpn. J. Appl. Phys. 33 (1994) p2207

[4] Yoshinori Momonoi, Kenetsu Yokogawa, Masaru Izawa, J. Vac. Sci. Technol. B 22, 268 (2004)

Keywords: Cyclic plasma cleaning, native oxide, surface fluorination

View Supplemental Document (pdf)
ALE-SaP-7 Low Damage Cyclical Etching of GaN and AlGaN
Andy Goodyear (Oxford Instruments Plasma Technology, UK); Paolo Abrami (University of Bristol, UK); Mike Cooke, Matthew Loveday (Oxford Instruments Plasma Technology)

The AlGaN gate recess etch requires the removal of a controlled amount of material, up to 30nm thick, with minimal disruption to the few nanometres remaining in the layer. Other device structures call for etching GaN, without disrupting underlying AlGaN. We have studied the use of cyclical ALE-style etch processes for these materials.

We find that both materials etch at around 1.5-4 Å /cycle in a Cl2/Ar cycle, similar to that used for ALE of silicon1, in an Oxford Instruments PlasmaPro100 Cobra300 ICP etch tool modified for ALE2. The baseline of the cycle, using a continuous ICP plasma is:

10 – 100 msec chlorine dose; the dose can also be varied by changing the flow

2 – 20 seconds of purge

3 – 30 seconds of 13.56MHz RF bias at 0 – 50 Vdc self bias

We present graphs showing a synergistic effect of gas dose and ion bombardment, and a distinct plateau in etch per cycle v chlorine dose for both GaN and AlGaN. The synergy is seen by comparing the etch per cycle with and without a chlorine dose. Zero etching is observed if only the dose step is applied. Only a slight saturation behaviour in etch per cycle v etch step intensity (bias voltage or time) was observed, due to a low selectivity of etch rate under argon ion bombardment, between chlorinated and non-chlorinated surfaces. The etch step bias required to consume all the adsorbed reactant is very close to the onset of physical sputtering of the base material, so that no plateau is seen. The cyclical etch strategy provides a repeatable low etch rate using minimal ion energies, although it does not give a significant selectivity between GaN and AlGaN, using this chemistry.

  1. S. D. Athavale and D.J.Economou J. Vac. Sci. Technol. B 14, 3702 (1996)

  2. A Goodyear, M Cooke, J. Vac. Sci. Technol. A35, 105-1 (2017)

View Supplemental Document (pdf)
ALE-SaP-8 Thermal Atomic Layer Etching of ZnO by “Conversion-Etch” Using Hydrogen Fluoride and Trimethylaluminum
David Zywotko, Steven M. George (University of Colorado - Boulder)

ZnO atomic layer etching (ALE) was demonstrated using hydrogen fluoride (HF) and trimethylaluminum (TMA) as the reactants [1]. ZnO thin films were grown by ZnO atomic layer deposition (ALD) at 150°C using diethylzinc (DEZ) and H2O. The ZnO films were then etched using sequential exposures of HF and TMA. In situ quartz crystal microbalance (QCM) monitored the linear mass loss during etching at 265°C. The QCM also allowed for analysis of individual mass gains and losses during reactant exposures. In addition, ZnO ALD films were grown on Si(100) wafers for ex situ analysis. The ZnO ALE was measured between 205°C and 295°C using spectroscopic ellipsometry and x-ray reflectivity analysis . The etch rate was temperature dependent and varied from 0.01 Å/cycle at 205°C to 2.19 Å/cycle at 295°C.

The QCM measurements at 265°C showed that the sequential HF and TMA thermal reactions were self-limiting. In addition, the large mass loss during the TMA exposures could not be explained by simple ligand-exchange reactions that remove the AlF3 fluoride layer following fluorination by the HF exposure. Instead, the large mass loss suggested that TMA was converting the ZnO surface to an Al2O3 layer. The HF then is believed to fluorinate the Al2O3 surface to an AlF3 layer. Subsequently, the TMA exposure removes the AlF3 layer by ligand-exchange reactions before continuing to convert more ZnO to Al2O3. This new strategy for thermal ALE can be called a “conversion-etch” mechanism.

The conversion of ZnO to Al2O3 during ZnO ALE may be one example of a fairly general phenomena. Conversion reactions may occur when the metal precursor used for ligand-exchange can form a metal oxide that is more stable than the initial metal oxide. These conversion reactions may be very useful in thermal ALE. For example, the conversion reaction could convert a metal oxide that has a volatile fluoride and would not display self-limiting fluorination to another metal oxide that may have a stable fluoride. The conversion reaction could also convert a metal oxide that may not have a volatile reaction product after ligand-exchange to another metal oxide that may have a volatile reaction product.

[1] David R. Zywotko and Steven M. George, “Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum”, Chem. Mater. 2017- DOI: 10.1021/acs.chemmater.6b04529. View Supplemental Document (pdf)
ALE-SaP-9 Cryogenic Atomic Layer Etching of SiO2
Nicolas Holtzer, Thomas Tillocher, Philippe Lefaucheux, Rémi Dussart (GREMI Université d'Orléans/CNRS, France)

Atomic Layer Etching (ALE), that has been developed in the 90s, addresses new challenges in micro and nanoelectronics where high precision processes are required. This is typically a sequential process relying on a self-limited reaction of radicals created in the plasma phase and adsorbed on the material to be etched. Precursor radicals adsorb ideally on the first monolayer. Then, under a low energy ion bombardment, the etch products form and desorb until the adsorbed layer is depleted (self-limited reaction). This removes a few monolayers. By repeating the cycle, the material is etched monolayers by monolayers.

ALE of SiO2 has already been demonstrated with a 3-step process. The first step is an Ar/C4F8 plasma with no RF self-bias voltage applied to the substrate holder. The oxide surface is then coated with a very thin fluorocarbon (FC) layer. The second step is a pure Ar plasma still with no RF self-bias voltage used to pump C4F8 out of the chamber. The third and final step is an Ar plasma with about 10V RF self-bias voltage. The ion energy must be kept below the sputtering threshold. If the thickness of the FC layer is accurately controlled, the process can be self-limited.

Such a process has been implemented and investigated on our ICP etching tool. It is observed that it is not reproducible since, depending on chamber wall conditions, it can switch from an etching regime to an unwanted deposition regime. A sequential 4-step process is proposed instead: after the etching step (step 3), an O2-based cleaning plasma step is added and improves overall process reproducibility. The chamber itself, whereas it provides gas pulsing capability for alternated processes, requires very accurate control of gas flows to reach self-limiting etching and hence low thickness etched per cycle. Ultimately, an etch rate of 0.3 A/cycle has been obtained in our experimental conditions.

However, fluorocarbon contamination of chamber walls is an issue. We propose to run ALE at cryogenic temperature of the substrate as a potential solution. Different precursor chemistries are investigated (fluorine-based, fluorocarbon-based) for top layer adsorption. At such a low temperature, species are adsorbed only at cooled surface and hence wall pollution is mainly suppressed. In addition, the substrate temperature is expected to be an additional process knob that enables the adjustment of the adsorbed precursor layer thickness and hence the reduction of the etched thickness per cycle. Finally, since radical diffusion in the bulk material is reduced at cryogenic temperature, low structural damage is expected as well.

ALE-SaP-10 SF4 as a New Fluorine Reagent for Thermal ALE: Application to Al2O3 and VO2 ALE
Jonas Gertsch, Nicholas Johnson, Victor Bright, Steven M. George (University of Colorado - Boulder)

Thermal ALE has been demonstrated recently for many materials including Al2O3, HfO2, ZrO2, ZnO, and AlN using fluorination and ligand-exchange reactions [1]. The fluorine reagent for the fluorination reactions has been HF from an HF-pyridine solution. HF-pyridine is a safer HF source than anhydrous HF. However, safety concerns, as well as the need for a stronger fluorination source, have motivated an exploration of SF4 as the fluorine reagent.

This study examined Al2O3 and VO2 ALE using SF4 for fluorination together with Sn(acac)2 (tin(II) acetylacetonate) as the metal precursor for ligand-exchange. Al2O3 and VO2 ALD films were grown at 150 °C using trimethylaluminum and H2O for Al2O3 ALD and tetrakis(ethylmethylamido) vanadium(IV) and H2O for VO2 ALD. These films were then etched using sequential SF4 and Sn(acac)2 exposures. An in situ quartz crystal microbalance was employed to monitor film growth and etching during the ALD and ALE reactions. Ex situ X-ray reflectivity (XRR) and spectroscopic ellipsometry (SE) were used to characterize film thicknesses on silicon substrates before and after etching.

The Al2O3 and VO2 ALD films were removed with a linear etch rate of ~0.2 Å/cycle and ~0.3 Å/cycle, respectively, at 200°C. Both SF4 and Sn(acac)2 exhibited self-limiting reactions on Al2O3 and VO2. The temperature dependence was also explored for Al2O3 ALE using SF4 and Sn(acac)2. The etch rates varied from 0.03 Å/cycle at 150 °C to 0.3 Å/cycle near 250 °C. To replace Sn(acac)2, BCl3 (boron trichloride) has also been explored as an alternative for VO2 etching together with SF4. Initial results have shown that BCl3 and SF4 can etch VO2 by a “conversion-etch” mechanism [2]. BCl3 is thought to convert the VO2 surface to a B2O3 layer. SF4 can then spontaneously etch the B2O3 surface layer.

[1] Y. Lee, C. Huffman and S.M. George, “Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions”, Chem. Mater. 28, 7657 (2016).

[2] D.R. Zywotko and S.M. George, “Thermal Atomic Layer Etching of ZnO by a “Conversion-Etch” Mechanism Using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum”, Chem. Mater. 29, 1183(2017).

View Supplemental Document (pdf)
ALE-SaP-11 Demonstrating Manufacturability of Atomic Level Etch (ALE) through Accelerated Neutral Atom Beam (ANAB) Processing
Daniel Steinke, Brian Sapp, Satyavolu PapaRao (SUNY Polytechnic Institute); Ed Barth (SEMATECH); Vidya Kaushik, Martin Rodgers, Chris Hobbs (SUNY Polytechnic Institute); Mike Walsh, Sean Kirkpatrick, Richard Svrluga (Neutral Physics Corporation)

Accelerated Neutral Atom Beam (ANAB) processing has been shown to be an effective method to achieve ALE in a cyclic process when combined with a selective removal step to form a SiN spacer [Barth et al, ALE Workshop 2016]. In this paper, we present the progress towards demonstrating manufacturability of the ANAB-enabled ALE process – in terms of factors such as process speed, control and stability, and ease of integration into a standard 300mm fabrication facility. In this work we will show several-fold improvement of wafer throughput by reduction of ANAB process times while still accomplishing the necessary surface modification by ANAB exposure. A detailed investigation of the applicability of various processes for the selective removal portion of the ALE cycle has shown that ANAB effectively reduces the surface roughness that is introduced by the selective removal process step. We also demonstrate the ability to affect the sidewall profile of the spacer etch by adjusting the ANAB process parameters throughout the cycled process, such as average neutral atom energy, the incorporation of chemically active species into the ANAB beam, etc. These improvements, combined with the installation of the first ANAB tool in the state of the art 300mm process line at the College of Nanoscale Science and Engineering (CNSE), are aiding wider implementation of ANAB-based ALE in semiconductor manufacturing lines.

ALE-SaP-12 Etching with Low Te Plasmas
Scott Walton, David Boris (U.S. Naval Research Laboratory); Sandra Hernández (U.S.Naval Research Laboratory); Hiroyuki Miyazoe, Ashish Jagtiani, Sebastian Engelmann, Eric Joseph (IBM TJ Watson Research Center)

Processing with atomic layer precision requires the ability to not only add, remove or modify one monolayer of material but to also leave adjacent layers unchanged. This requires fine control over the flux of species and energy deposition at the surface. The appropriate threshold and process windows are certainly material specific but it is reasonable to assume many applications require low energy ions. Electron beam-generated plasmas are generally characterized by high charged particle densities (1010- 1011 cm-3), low electron temperatures (0.3 - 1.0 eV), and in reactive gas backgrounds, a relatively low radical concentration compared to discharges. As such, these sources are well-suited to explore processing space not commonly found in industrial tools. In this work, we discuss SiN etching using pulsed, electron beam generated plasmas produced in SF6 backgrounds. We pay particular attention to the etch rates, selectivity (vs. carbon films, Si and SiO2), and patterning as function of operating parameters such as relative gas concentration, operating pressure, and substrate bias. These results are compared with plasma diagnostics to gain a better understanding of the process requirements and windows for threshold etching of SiN. This work is partially supported by the Naval Research Laboratory base program.

ALE-SaP-13 Surface Cleaning of Gallium Antimonide Oxides: The Role of Hydrogen Atoms, Argon Ions, and Temperature
Thomas Larrabee, Sharka Prokes (Naval Research Laboratory)

Complete removal of surface oxides from GaSb and other III-V semiconductors may be accomplished by a variety of means, including thermal oxide desorption at high temperature, chemical reaction with atomic or molecular hydrogen, and physical sputtering by noble gas ion bombardment. It is often difficult to remove the oxide while preserving a low-defect surface, which is typically critical to limit Fermi-level pinning that degrades device performance, because one species desorbs faster than another or because the temperatures or ion energies involved cause physical surface defects. Plasmas, however, particularly mixtures of argon and hydrogen, have been shown to work well in surface preparation of GaSb interfaces at very low temperature.(1) What is not known clearly is which species of the plasma is the most critical, how low the temperature can be to effectively remove oxide, or whether there is a synergistic effect to multiple species in atomic layer surface cleaning at low temperature. To investigate this phenomenon, GaSb (001) surfaces have been prepared in an UHV chamber with in-situ photoelectron spectroscopies and low-energy electron diffraction (LEED).(2) The effects of atomic hydrogen exposure alone, low- and moderate-energy Ar+ ions alone, and simultaneous atomic hydrogen and low-energy Ar+ ions (like would be encountered in many plasma systems) on oxidized GaSb will be presented. The effectiveness of oxide stripping and re-oxidation has been evaluated with surface-sensitive electron-stimulated Auger spectroscopy and XPS, and has been studied as a function of temperature. LEED patterns confirm the ordering and crystallinity that may still be achieved, even after multiple oxidations and oxide removals. Controlled oxidation and selective, damage-free oxide stripping will also be explored as a route to a pseudo atomic layer etch process for GaSb. Such a process could be sped up considerably in plasma-based reactor at higher pressures, when the requirements on the plasma, such as ion energy and flux, hydrogen atom flux, and surface temperature are understood.

(1) L.B. Ruppalt et al., Appl. Phys. Lett. 101, 231601 (2012).

(2) V.M. Bermudez, Rev. Sci. Instrum. 85, 114101 (2014). View Supplemental Document (pdf)
ALE-SaP-14 Aluminum Native Oxide Surface Cleaning and Passivation in an Atmospheric Plasma System
John Mudrick, Matthew Pohl, Katherine Knisely (Sandia National Laboratories)

Plasma cleaning is an integral part of electronics processing used for surface decontamination, wire bonding, wafer-to-wafer interconnect formation, preparation for film growth, and many other process steps. Plasma cleaning and passivation of aluminum is of particular importance because any degree of ambient exposure results in a rapidly-forming native oxide several nanometers in thickness, potentially deteriorating the electrical and morphological properties of the Aluminum surface. Careful control of this oxidized surface at the atomic scale is of paramount importance for many steps. In this work we use a flexible, low-damage dielectric barrier discharge atmospheric plasma treatment system to fully reduce oxidized aluminum surfaces and passivate against future re-oxidation. Wire bond pull tests and water contact angle (WCA) measurements were used to initially characterize the aluminum cleaning process. Aluminum wire bonds made to an untreated aluminum surface failed under a force of (10.1 ± 1.8) grams. Exposing the aluminum surface to a hydrogen-based plasma prior to wire bonding resulted in an increased bond strength, requiring a force of (13.2 ± 0.9) grams for failure. WCA measurements correlate this enhancement with native oxide removal: untreated aluminum samples show a weakly hydrophilic surface with a contact angle of (57 ± 2) degrees. Exposing the samples to the same hydrogen-based plasma reduces the WCA to (28 ± 5) degrees, suggesting the degree of oxidation is reduced. Further increasing the plasma exposure time results in a steady decrease in WCA until the native oxide is completely removed, Fig. (a) and (b). However, the WCA increases rapidly under ambient exposure. To combat this re-oxidation, nitrogen gas is added to the plasma in order to passivate broken Al-O bonds and improve process flexibility. Both mixed mode – hydrogen and nitrogen mixed gas flow - and sequential – separate hydrogen and nitrogen steps – passivation schemes are investigated in order to maximize the time with minimal WCA. Both schemes show improved resistance to aluminum re-oxidation. To date, best passivation is achieved in continuous mode: a modest WCA increase from 2 to 5 degrees is observed after 180 minutes of re-oxidation in cleanroom ambient conditions, Fig. (c). Cleaning and passivation mechanisms will be further characterized with x-ray photoelectron spectroscopy measurements. Extensions to aluminum nitride film growth on plasma-cleaned and passivated aluminum substrates are also underway.

View Supplemental Document (pdf)
ALE-SaP-15 Atomic Layer Etching in Reactive Ion Etching System for Nanoscale Pattern Transfer
Sabbir Khan, Dmitry Suyatin, Mariusz Graczyk, Anders Kvennefors (Lund University, Sweden); Esko Kauppinen (Aalto University, Finland); Maria Huffman, Ivan Maximov (Lund University, Sweden); Jonas Sundqvist (Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany)

Today the characteristic size of advanced electronic components is already below 20 nm, which corresponds to less than 40 silicon atoms. Atomic Layer Etching (ALE) provides atomic precision material removal and is inherently a damage-free etch process. This makes ALE to be very important for fabrication of leading edge electronic components [1-3].

Here we present our study of ALE process in Plasmalab-100 etch system from Oxford Instruments for nanoscale pattern transfer. The ALE process for Si was based on surface activation with Cl2 gas and removal of the activated layer in Ar plasma [4]. Nanoscale patterns with different geometries and feature sizes, see Figure 1, were fabricated with electron beam lithography in HSQ (hydrogen silsesquioxane) resist. Inspection of the nanoscale patterns after ALE allowed us to study different effects and limitations of the ALE process, for example loading, trenching and sidewall tapering.

References

[1] K. J. Kanarik et al., JVST A v. 33, p. 020802 (2015)

[2] J. Papalia et al., Solid State Phenomena, v. 255, p. 41 (2016)

[3] D. Metzler et al., JVST A v. 34, p. 01B102 (2016)

[4] A. Goodyear and M. Cooke, JVST A v. 35, p. 01A105 (2017)

View Supplemental Document (pdf)
ALE-SaP-16 Selective Fluorocarbon-based Atomic Layer Etching in a Conventional Parallel-Plate, Capacitively Coupled Plasma
Stefano Dallorto (Ilmenau University of Technology); Andy Goodyear (Oxford Instruments Plasma Technology, UK); Mike Cooke (Oxford Instruments Plasma Technology); Scott Dhuey, Adam Schwartzberg, Simone Sassolini (Lawrence Berkeley National Laboratory); Craig Ward (Oxford Instruments); Deirdre Olynick (Lawrence Berkeley National Laboratory); Ivo Rangelow (Ilmenau University of Technology); Stefano Cabrini (Lawrence Berkeley National Laboratory)

We study a Fluorocarbon(FC)-based ALE process for controlling the etching of several substrates at the atomic level in a conventional parallel-plate, capacitively coupled plasma tool. In a steady state Ar plasma, a periodic fluorocarbon gas injection enables control of the deposited FC layer thickness in the one to several Angstrom range and chemical modification of the surface. For low energy Ar+ ion bombardment conditions, the physical sputter rate of the substrate vanishes, whereas the modified surface can be etched when FC reactants are present at the surface.

With the goal of achieving high selectivity FC-based ALE, we study the etching of different materials under different FC chemistry. Etching rate per cycle is first investigated using spectroscopy ellipsometer on unpatterned surfaces. Using CHF3-based ALE for SiO2 etching, the etching rate is 8.5Å/cycle. SiO2 features with different critical dimensions has been etched under different conditions. The SiO2 features etched using an ALE process (Row 1) are aspect-ratio independent compared to the features obtained with a continuous RIE process (Row 2). It is worth noticing how the results change when higher DC bias is used in the etch step of the ALE process (Row 3). This case is dominated by sputter etching with results in a high degree of physical/ionic etching.

A successful application of the cyclic ALE approach has been demonstrated. Overall, the cyclic FC/Ar etch has proven to pattern features well (Row 1), with great potential for significant improvement in overall etch performance.

View Supplemental Document (pdf)
ALE-SaP-17 RF Plasma Electrostatics: The Influence on Film Morphology and Carbon Incorporation
K. Scott Butcher (Meaglow Ltd, Canada); Penka Terziyska (Institute of Solid State Physics, Bulgarian Academy of Sciences, Bulgaria); Vasil Georgiev (Meaglow Ltd, Canada); Dimka Georgieva (Semiconductor Research Lab, Lakehead University, Canada); Rositsa Gergova (Central Laboratory of Solar Energy and New Energy Sources, Bulgarian Academy of Sciences, Bulgaria); Peter Binsted, Shawn Skergetc (Semiconductor Research Lab, Lakehead University, Canada)

RF plasmas have a DC component that can affect the migration of species deposited during film deposition. Electrostatic interactions between the positive charge presented by RF plasmas and the negative charge of deposited layers can have a strong influence on film morphology. We have characterised some of these interactions and published some results that may be of interest [ Butcher et al. J. Appl. Phys. 121 (2017) 013301].

Electrostatic field interactions can also play a strong role in reducing carbon contamination, we provide an example of GaN where carbon contamination using a nitrogen plasma is reduced below detection limits using controlled electrostatic interactions.

ALE-SaP-18 Atomic Layer Etching of Amorphous Silicon with Selectivity Towards MoS2
Markus Heyne (KU Leuven, Belgium); Andy Goodyear (Oxford Instruments Plasma Technology, UK); Jean-François de Marneffe (IMEC, Belgium); Mike Cooke (Oxford Instruments Plasma Technology, UK); Iuliana Radu (IMEC, Belgium); Erik Neyts (University of Antwerp, Belgium); Stefan De Gendt (KU Leuven, Belgium)

Transition-metal dichalcogenides and their heterostructures enable promising architectures for novel device concepts. Nowadays, prototype structures are fabricated by manual stacking of exfoliated nanosheets or transferred CVD layers. This transfer approach has the advantage of reducing processing steps being detrimental to the sensitive 2D materials. However, it cannot be automated and it is not compatible with the geometrical scaling required for advanced CMOS applications. The exploration of selective patterning and selective growth is therefore essential to build heterostructures with defined geometries and electrical contacts to the individual nanosheets.

This paper describes the first critical step to fabricate a WS2/MoS2 heterostructure by selective conversion of sacrificial Si [1]. Large-area MoS2 as the bottom MX2 layer is deposited by sulfidation [2,3] and pre-patterned by optical lithography and conventional etch. Amorphous Silicon (aSi) is deposited on top of the MoS2 and optical lithography is performed defining the top layer of the heterostack, which is converted to WS2 [1]. The key part of the device prototyping is the selective etch of aSi without damage to the underlying MoS2. To this end, we investigated an atomic layer etching (ALE) process based on Cl2 plasma chemistry in an Oxford Instruments PlasmaPro 100 ICP reactor equipped with an ALE kit. The process was composed of alternating cycles of a Cl2 pulse followed by low-bias Ar activation in a continuous ICP mode. The controllable silicon etch rate of the ALE process was 0.6 nm per cycle. The process stopped on the MoS2 without degradation of its structure, enabling very high overetch. The exposed MoS2 quality was characterized by Rutherford backscatter spectrometry, Raman spectroscopy, and X-ray photoelectron spectroscopy. No damage to the MoS2 was detected. Finally, the developed aSi ALE with selectivity to MoS2 was applied to samples with lithography patterns to demonstrate the applicability for pattern transfer. The presented work also covers the challenges of applying ALE to 2D materials, and focuses on the detrimental influence from adsorbed water on the carrier wafer and chamber walls, and the protecting effect of the heterostack’s top layer during the plasma ignition. The established procedure opens a path to study the plasma-surface interaction on MX2 materials without damaging the top molecular MoS2 layer.

[1] M. Heyne et. al, Nanotechnology 28, 04LT01 (2017)

[2] M. Heyne et. al, J. Mater. Chem. C 4, 1295 (2016)

[3] D. Chiappe et al., Advanced Materials Interfaces 3(4), 1500635 (2016)

View Supplemental Document (pdf)
ALE-SaP-19 Simulation of New Material-Systems for Directional Atomic Layer Etching
Ivan Berry, Keren J. Kanarik, Thorsten Lill, Vahid Vahedi, Richard Gottscho (Lam Research Corp.)

Atomic layer etching (ALE) has recently been introduced into manufacturing of 10 nm logic devices. ALE is an etching technology that deploys separated and self-limited steps. In directional ALE, at least one of the two steps has to be directional, i.e., has to transfer momentum to the surface and/or be sensitive to line of sight. Ion bombardment is most commonly used to realize directional ALE. ALE exhibits the same ion-neutral synergy as RIE but the removal amount is not flux dependent due to the separation of the neutral (chemical) and ion fluxes /1,2/. Flux independence gives ALE its most important property: inherent uniformity across all length scales – across wafer, loading, ARDE and surface smoothness. As compared to conventional plasma etching, the separate steps in ALE also offers a simplified system in which to study the basic etching mechanisms. For example, recently, the process window of ALE was shown to be predictable based on the energy barriers relevant to the substrate-reactant combination, such as the surface binding energies of the chemically modified and bulk material /3/. The separate and independent steps make it particularly suitable to modelling efforts. Here we present the latest results in feature scale modelling of new material systems amenable to the ALE approach.

[1] H.F. Winters, J.W. Coburn, E. Kay, J. Appl. Phys. 48, 4973 (1977)

[2] K.J. Kanarik et al., J. Vac. Sci. Technol. A 33(2) (2015)

[3] K.J. Kanarik et al., J. Vac. Sci. Technol. Submitted Dec 2016

View Supplemental Document (pdf)
Session Abstract Book
(388KB, May 5, 2020)
Time Period SaP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2017 Schedule