ALD2017 Session AM-MoP: ALD for Manufacturing Poster Session

Monday, July 17, 2017 5:30 PM in Room Plaza Exhibit

Monday Afternoon

Session Abstract Book
(313KB, May 5, 2020)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD2017 Schedule

AM-MoP-1 Anhydrous Hydrogen Peroxide Gas Delivery for Semiconductor Manufacturing: Optimal Delivery Conditions for ALD Processes
Daniel Alvarez, Jeffrey Spiegelman, Keisuke Andachi, Russell Holmes, Zohreh Shamsi (RASIRC)

Introduction

H2O2 gas is a novel oxidant for ALD that improves passivation and nucleation density at semiconductor interfaces, potentially leading to reduced interfacial defect density.1,2 We have previously reported a new technology capable of generating and delivering stable anhydrous H2O2 gas.3 The method utilizes a solvent based H2O2 solution, a carrier gas and membrane pervaporator in order to deliver anhydrous H2O2. Several studies have reported on the advantages of hydrogen peroxide as an ALD oxidant versus water and ozone.4,5

Heat and Materials Compatibility Challenges

In practice, ALD process limitations have been found due to Manufacturing tool configuration and the heating of delivery lines as well as other components. H2O2 is thermodynamically unstable and can decompose into H2O and O2 on metal and other material surfaces. The decomposition rate increases with temperature and/or concentration. Most ALD manufacturing tools incorporate long heated lines and/or incompatible materials, and thus are not readily suitable for H2O2 use.

Materials Decomposition Study

The decomposition rates of various tube materials have been examined at elevated temperature. These materials included: Pre-conditioned SS; [https://en.wikipedia.org/wiki/Fluorinated_ethylene_propylene] (FEP) -coated SS; and SS with a metal oxide coating. The electropolished SS316L tubing (1/2”x3m) was passivated with H2O2 gas at 140C for 8 hours. FEP and the metal oxide coating were applied on the inner wall of the SS tubing by solvent based methods. H2O2 concentration vs temperature was monitored by FT-IR. H2O2 decomposition rates are significant at T> 90C for the Preconditioned SS and FEP materials. In contrast, the metal oxide coating mitigates decomposition from 60–140C, thus can enhance process performance. Additional material surface results for Hasteloy and Aluminium oxide will be presented.

References

  1. T. Kaufman-Osborn, E.A. Chagarov, A.C. Kummel. J. Chem. Phys.140, 204708, (2014)

  2. D. Alvarez, A. Hinckley, P. Macheno, C. Ramos, J. Spiegelman, A. Muscat. Hydrogen Peroxide Gas for Improved Nucleation and Initiation in ALD. ALD Conference Presentation, Ireland (2016).

  3. D. Alvarez Jr, J. Spiegelman, E. Heinlein, R. Holmes, C. Ramos, M. Leo, S. Webb, ECS Trans. 72(4), (2016), 243-248.

  4. S. Consiglio, R. Clark, T. Hakamata, K. Tapily C. Wajda, G. Leusink. Anhydrous H2O2 for ALD HfO2 growth and interfacial layer thickness control. ALD Conference Presentation, Ireland (2016).

  5. G. Nowling, S. Weeks, D. Alvarez, M. Leo, J. Spiegelman, K. Littau. Comparison of hydrogen peroxide and ozone for use in zirconium oxide atomic layer deposition. ALD Conference Poster, Ireland (2016).

View Supplemental Document (pdf)
AM-MoP-2 OpenALD - A Framework for an Open Source ALD Reactor
Vivek Dwivedi (NASA)

In general terms the equipment that is used for a fully functioning Atomic Layer Deposition reactor is constant from reactor to reactor with specialized preprocessing, post processing and in situ characterization tools such as RGA’s, QCM’s and ellipsometers. In all reactor builds the following are constants: precursors are pulsed into a chamber volume utilizing high speed pneumatically actuated valves, reactor pressure is monitored using a pressure transducer/manometer, purge gas flow rates and constant reactor baseline pressures are set via mass flow controllers and thermocouples are used to monitor chamber temperature. Specialized commercial software is typically used to preprogram a desired recipe and for in situ diagnostics. This specialized software can be cost prohibited, lack expansion functionality and be a deterrent to fully understanding the inner workings of the deposition tool. This talk will introduce an OpenALD framework for reactor control and monitoring that utilizes the open source software Python and it’s extension libraries to control multiple ALD reactors while provide flexibility and cost savings measures that can be is easily implemented. Various algorithms, techniques and code examples will be discussed along with implementation success stories.

AM-MoP-3 Multilayer ALD Metal Oxide Films Deposited by Spatially Resolved ALD Processes for Moisture Barrier Films
Sang Heon Yong, Sun Jung Kim, Heeyeop Chae (Sungkyunkwan University (SKKU), Republic of Korea)

Organic light emitting diodes (OLEDs) are considered as flexible future panel displays due to many advantages such as high color quality, thin thickness. Thin film encapsulation (TFE) is one of essential technologies required flexible display to protect OLEDs from moisture and oxygen.[1] Inorganic films deposited by atomic layer deposition (ALD) process have demonstrated high barrier performance. But, extremely low throughput of ALD process is a major weakness for commercialization. To overcome the low throughput of ALD, high throughput ‘spatial ALD’ processes have been studied.[2] To achieve high flexibility of barrier layers, multilayer structure has been studied with various inorganic and organic layers [3]. In the multilayer structure, the inorganic layers typically function for the permeation barrier and organic layer for stress relief with increased diffusion pathway of moisture and oxygen.

In this study, Al2O3 films were deposited by a spatial ALD process. We characterized various process conditions such as the flowrate of Al precursor and oxygen precursor, scanning speed. We also investigated carbon-rich Al2O3 films by controlling the deposition conditions and observed the improved flexibility of the carbon-rich Al2O3 films as carbon contents increases in the barrier films. This carbon-rich Al2O3 films potentially replace to organic layer without losing flexibility much as shown in Figure 1. Water vapor transmission rate (WVTR) was determined by a calcium resistance test and optical test, and less than 10-4 g/m2 ·day was achieved. Samples were analyzed by x-ray photoelectron spectroscopy (XPS) and field emission scanning electron microscope (FE-SEM).

Acknowledgement : This work was supported by BASF.

References

[1] S.H. Jen, B.H. Lee, Steven M. George, Robert S. Mclean, Peter F. Carcia, Appl. Phys. Lett. 96 (2012)

[2] P. Poodt, A. Lankhorst, F. Roozeboom, K. Spee, D. Maas, A. Vermeer, Adv. Mater. 22, 3564 (2010)

[3] S.W. Seo, H.K. Chung, H. Chae, S.J. Seo, S.M. Cho, Nano. 8, 4, (2013)

View Supplemental Document (pdf)
AM-MoP-4 A Green Precleaning Process in Wettability Improvement for Thinner and Uniform ALD Al2O3 Film Deposition on Layered MoS2 Film
Cheng-Ying Wang (National Taiwan Normal University, Taiwan); Yen-Teng Ho, Yung-Ching Chu (National Chiao Tung University, Republic of China); Hung-Ru Hsu (ITRI, Republic of China); Bing-Mau Chen, Pang-Shiu Chen (Minghsin University of Science & Technology, Taiwan); Min-Hung Lee (National Taiwan Normal University, Taiwan); Chao-An Jong (NARLabs, Republic of China)

Layered-transition metal dichalcogenides (TMDCs) with tunable energy band gap is promising for many electrical or optical devices application. However, the progress for the device fabrication and characterization are limited because of some integration issues which have not been overcome yet. Up to date, better device data was obtained from the exfoliated film transferred onto a dielectric substrate and source/drain contact metal processed by lift-off process. Furthermore, most of the studied transistor is operated by back gate structure because of poor wettability for ALD HK gate dielectric deposition. It was reported that an oxygen plasma treatment[1], UV-O3 atmosphere treatment[2] or bi-layer dielectric stacking structure[3] were studied to form a thinner and continuous dielectric layer on TMDCs. Even that, a continuous film thicker than 10 nm and a defective interface is expected.

In this study, we focus on the clean process development by dipping TMDCs film into an alkaline solution (patented by Chu Chi Industrial Co. Ltd) for surface energy tuning. The process was carried out at room temperature. The PH value of the solution is above 10. Previous works[4-5] shown that the alkaline solution could effectively create a hydrophilic surface on bare Si wafer at lower temperature and in shorter time than conventional SPM process (using H2SO4+H2O2 >120C for 10mins) in current Si ULSI process. The C-V electrical data shown a defect-free interface between HK and Si substrate. Herein, a PLD direct growth MoS2 film on sapphire and a MoS2 film on SiO2/Si substrate formed through sulfidation of tiny sputtering MoOx were studied. The film thickness were around 5-6 nm and confirmed by cross section TEM. The surface energy of TMDCs films were examined by contact angle observation. The contact angle reduced and then kept from 49 to 38 degree for the non-treated and 10 second treated sample. The high k dielectric layer Al2O3 film was deposited by atomic layer deposition after the solution precleaning process. The film thickness measured by ellipsometry and confirmed by TEM is 10 nm. A clean and uniform interface between the Al­2O3 film and the MoS2 film was observed. The electrical properties of the dielectric layer will also be discussed. This work proposes a green and promising precleaning process for TMDCs before high k film deposition.

Reference:

  1. Jaehyun Yang et al., ACS Appl. Mater. Interfaces, 5, 4739−4744 (2013)

  2. Angelica Azcatl et al., APPLIED PHYSICS LETTERS 104, 111601 (2014)

  3. Xuming Zou et al., Adv. Mater. 26, 6255–6261 ( 2014)

  4. Cheng-Ying Wang et al., TACT 2016

  5. Bing-Mau Chen et al., OPTIC 2016

View Supplemental Document (pdf)
AM-MoP-5 Highly Sensitive Ion Trap Mass Spectrometer for Inline Process Control
Ruediger Reuter, Valerie Derpmann, Gennady Fedosenko, Alexander Laue, Tina Graber, Michel Aliman, Hin Yiu Chung (Carl Zeiss SMT GmbH, Germany)

Real-time inline control of process gas compositions with high sensitivity has been of particular importance in recent years in the semiconductor industry and beyond. Most of the real-time process gas analysis was carried out with differentially pumped Residual Gas Analyzer (RGA) which are based on a linear quadrupole mass filtering technique. The sensitivity of this technology is limited by the dynamic range of the secondary electron multiplying detector and its strong mass discrimination with increasing m/z–ratio. To generate a complete mass spectrum, a RGA usually needs a few minutes which is often too slow for real-time inline process control. A new mass spectrometer, based on Fourier-Transform 3D-Quadrupole Ion Trap technology, is more appropriate for real-time process control and will be presented in this work.

The 3D-Quadrupole Ion Trap mass spectrometer (iTrap) by ZEISS is installed in a vacuum chamber (~120mm x 120mm x 120mm) with a fast sampling valve for pulsed gas injection (pulse duration ~ 20ms). An electron gun is used to ionize the gas. The Ion Trap achieves ion trapping and accumulation by means of a radio frequency voltage applied to the ring electrode of the trap. With the aid of advanced electronic amplifiers and selective ion excitation technique, a very small current, generated by the ion oscillations, is induced on the upper and lower electrodes of the ion trap and can be measured electrically without using any separate particle detector. A mass spectrum is finally obtained by a Fourier Transform of the recorded electrode current signal in less than one second.

Real-time measurements of the hydrogen plasma cleaning process of Sn contaminated samples were performed with the iTrap mass spectrometer. The working pressure of the plasma cleaning process was 0.5 mbar. Decreasing signal of SnH4 and other contaminations from the samples which are directly correlated to the cleaning process were observed with iTrap. This result is extremely useful for the process control of plasma processes and inline real-time contaminations control for high-end applications.

Measurements were also performed on a deposition chamber monitoring the deposition process and the cleaning steps in between. Fast dynamic changes in process gas (C2F4, O2, NF3, H2) and reaction gas composition could be detected with a repetition rate of ~1 Hz.

AM-MoP-6 STD-PEALD Equipment Design and Evaluation of Nano Thin-Film Characteristics
Myeong Hee Jeong (Korea Electronics Technology Institute, Republic of Korea); Tae Young Ryu (Sung Kyun Kwan University); Kyung-Pyo Hong (Korea Electronics Technology Institute, Republic of Korea); Jaeboong Choi (Sung Kyun Kwan University); Chul Kyu Song, Myeongjun Koo (LEED Corp.); Yekyung Kim, Se Hong Chang (Korea Electronics Technology Institute, Republic of Korea); Inkwon Jeong (LEED Corp.); Hyeongkeun Kim (Korea Electronics Technology Institute, Republic of Korea)

The spatial atomic layer deposition (ALD) technique has been developed to complement the drawbacks of the time-dependent conventional ALD technique. However, the spatial ALD technique still has some weaknesses of low productivity, large equipment size which results in high developing cost and so on. The plasma-enhanced ALD (PEALD) which is controlled by time and space in a device, named space and time divided PEALD (STD-PEALD), was developed, in this study. The fast reciprocating motion of substrate with short distance as much of an injector pitch in the proposed STD-PEALD enables to reduce the size of the equipment and to ensure high productivity compared with the spatial ALD constructed so far. Also, the sequential exposure of precursor and reactive gas decreases the dust problem, generally occurs in spatial ALD process. The detailed structure of the proposed STD-PEALD was designed based on the results of thermal-structural coupled field analysis considering both the effect of heat and load produced during operation. Thermal stress was examined by the existence of a heat source. Also, load stress and deformation of the equipment were analyzed to determine the optimum design of the moving parts for the substrate which were made of electromagnetic coils. Additional analysis of fatigue life for the developed equipment was performed regarding of operation parameters--time, speed, etc. Finally, the performance of the developed STD-PEALD was verified by deposition of Al2O3 on various films. The high deposition speed and quality of deposition layer of the STD-PEALD were confirmed in this study.

View Supplemental Document (pdf)
AM-MoP-7 Demonstration of a Correlation between Barrier Property and Defect Visualization of ALD(Al2O3)/Graphene Film
Kyung-Pyo Hong, Myeong Hee Jeong, Dohyeon Lee, Jin Woo Seo, Sang Jin Lee (Korea Electronics Technology Institute, Republic of Korea); Jaeboong Choi (Sung Kyun Kwan University); Inkwon Jeong (LEED Corp.); Se Hong Chang, Yekyung Kim, Hyeongkeun Kim (Korea Electronics Technology Institute, Republic of Korea)

Graphene, which shows excellence in mechanical and electrical properties, has been widely researched in various fields. Due to the increase of research areas and demand of usage, commercialization of graphene with its outstanding properties is required. Quality control of mass-produced graphene is a crucial factor for large-scale production. However, the defects which degrade mechanical and electrical properties of graphene occur during synthesis and transfer process. To examine the quality of graphene, we developed an inspection system which can visualize the graphene grain boundary and defects precisely and fast. The visualization system consists an optical microscope with long working distance, a heating stage and gas supply system. Moreover, in this study, the Al2O3 layer was deposited by atomic layer deposition (ALD) method on the graphene prepared by chemical vapor deposition (CVD) method. The Al2O3/graphene/Cu film was then applied to the developed visualization stage to evaluate the effect of the existence of Al2O3 layer by ALD. The visualization strategy is based on the oxidation behavior of graphene and substrate Cu under the temperature and atmosphere condition, especially humidity of the air. Defect visualization of various thicknesses of ALD layer on graphene/Cu were carried out together with the water vapor transmission rate (WVTR). The effect of barrier property of Al2O3/graphene film on defect visualization was researched in this study.

View Supplemental Document (pdf)
AM-MoP-8 Transport and Kinetics of a Remote DBD Plasma for ALD Processing of Metal Oxides
Tim Beekman, Yves Creyghton, Jurjen Emmelkamp (Solliance/TNO, Netherlands); Ana Sobota (Eindhoven University of Technology, Netherlands)

Different types of dielectric barrier discharge (DBD) plasma sources are being used in ALD platforms at Solliance. Replacement of H2O by O2/N2 plasma in the thermal TMA-H2O process offers various benefits such as increased speed (shortening purge periods) and low temperature deposition of alumina (100-150oC). Another example is improved composition control in mixed metal oxide ALD such as Indium zinc oxide. We use linear plasma sources with a thin plasma volume (~0.1 mm) ending short above the moving substrate (~0.2 mm). Flow velocities at the plasma slit nozzle are in the 1-20 m/s range. The DBD plasma is generated with alternating pulses with 5 kV amplitude, 50 kHz repetition frequency and 300 W/cm3 energy density. In order to optimize the geometry and plasma operating conditions for high radical flux homogeneity at moderate gas flows, an integrated fluid dynamics and chemical kinetics model has been set-up using Comsol modelling software. The model assumes constant densities of atomic radicals (O, N) and excited states of N2 derived from published experimental data. The chemical kinetic reaction rates are obtained from validated models of O3 production in atmospheric air. The model includes temperature dependent diffusion of radical and molecular gas species, surface recombination of radicals on metals and dielectric source materials, as well as heat transfer by conduction and flow. Both radical and heat production are taken into account as a boundary condition on the dielectric barrier. The calculated oxygen radical flux towards the substrate is compared with the oxygen content in a monolayer of Al2O3, based on the measured growth per cycle of 0.16 nm. In order to further validate our model a series of dedicated experiments with the plasma source has been performed. Stationary etching of a 40 nm thick amorphous carbon layer provides detailed information on the spatial distribution of reactive nitrogen species arriving at the substrate. Both temperature measurements within the plasma source and in the process gas directly downstream the nozzle slit have been performed. Finally the influence of the O2/N2 ratio on measured O3 has been determined. Since the model predicts a lower O radical flux from the gas towards the substrate than needed for saturated growth we assume that dissociative recombination of O3 into O2 and O at the CH terminated surface contributes to layer growth. In-depth understanding of the influence of the flow distribution and surface recombination of radicals on plasma source and substrate materials has been gained and used for optimization of process settings and geometry.

AM-MoP-9 Effects of Sealing Components on ALD Film Quality
Fred Pourmirzaie (Flodynamix)

Atomic layer deposition (ALD) of high quality thin films has recently penetrated manufacturing lines of several major memory and logic manufacturers due to the promise of unprecedented control of thickness, uniformity, quality and material properties. ALD tools were designed around the anticipation that future ultrathin materials are likely to be binary, ternary or quaternary alloys or nanolaminate composites. A unique chemical delivery system enables synergy between traditional, production-proven low pressure chemical vapor deposition (LPCVD) technology and atomic layer deposition (ALD) controlled by sequential surface reactions. Source chemicals from gas, liquid or solid precursors are delivered to arrive on reactive surfaces where self-limiting surface reactions yield film growth with layer-by-layer control. Surfaces are made reactive by the self-limiting reactions, by surface species manipulation, or both. The substrate is exposed to one reactant at a time to suppress possible chemical vapor deposition (CVD) contribution to the film. Precisely controlled composite materials with multiple-component dielectric and metal–nitride films can be deposited by ALD techniques. The research community has demonstrated these capabilities during the past decade. Accordingly, ALD equipment for semiconductor processing is unanimously in high demand. Sealing parts like O-rings and lip-seals used to isolate chamber from outside world play a critical role, more so in ALD processes than any other Semiconductor manufacturing process. This is because any minute outgassing or permeation through O-ring polymer poses grave risk to quality of film deposited. Conventional Perfluoroelastomer (FFKM) O-rings with inherent porosity are not the ideal material of choice for ALD processes. In this article, we will demonstrate the effect of outgassing and permeation from sealing parts on ALD film uniformity, stoichiometry and overall thin film quality. Flodynamix LLC has developed a unique fluoropolymer called Kratos® ideal for ALD and PVD processes.

Viton® Kratos® FFKM

Permeation of O2 1.8 1.3 7.4

Permeation of He 15.5 13.2 72.0

Permeation of N2 0.06 0.05 9.5

Unit in 10-8 sccm-cm/sec-cm2-atm @ 25°C

Kratos® is registered trademark of Flodynamix LLC.

Viton® is registered trademark of DuPont

View Supplemental Document (pdf)
Session Abstract Book
(313KB, May 5, 2020)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD2017 Schedule