ICMCTF2011 Session GP: Symposium G Poster Session

Thursday, May 5, 2011 5:00 PM in Room Town & Country

Thursday Afternoon

Time Period ThP Sessions | Topic G Sessions | Time Periods | Topics | ICMCTF2011 Schedule

GP-2 Improvement on Corrosion Resistance of Austempered Ductile Iron via Low Temperature Duplex Coatings
Cheng-Hsun Hsu, Kuan-Hao Huang, Yi-Tsung Chen (Tatung University, Taiwan); Pei-Ling Sun, Chung-Kwei Lin (Feng Chia University, Taiwan)

Austempered ductile iron (ADI) is an attractive engineering material due to its excellent strength, toughness and the low cost. This study utilized electroless nickel (EN) and cathodic arc evaporation (CAE) technologies, with the known advantage of low processing temperature, to treat the ADI substrates. The eligibility of applying the EN and CAE-TiN duplex coatings on ADI, along with the coating properties, such as structure, roughness, and adhesion were evaluated and analyzed. Moreover, polarization tests were performed to further understand the effect of both the coatings on the corrosion behavior of ADI. The results showed that the unique microstructure of ADI did not deteriorate after EN and CAE treatments. Corrosion resistance of ADI in 3.5% NaCl solution could have a noticeable improvement via the use of EN/TiN duplex coatings.

GP-8 Fabrication and Mechanical Characteristics of Metal Matrix Composite with Homogeneously Dispersed Ceramic Particles
Eun-Hee Kim, Woo-Ram Lee (Changwon National University, Korea); Chang-Gyu Lee, Min-Ku Lee, Jin-Ju Park (Korea Atomic Energy Research Institute, Korea); Chang-Seop Lee (METIA Corporation, Korea); Yeon-Gil Jung (Changwon National University, Korea)
Composites incorporating ceramic particles as reinforcement phase, namely metal matrix composites (MMCs), have been researched in efforts to enhance wear resistance, hardness, and elasticity of pure metal [1]. In order to optimize these advantageous properties, the ceramic particles must be individually dispersed in the metal matrix without any aggregation of particles. Therefore, in this work, titanium carbide (TiC) particles have been coated with nickel (Ni) to increase compatibility between TiC particles and metal matrix based on iron (Fe), leading to the improvement in the dispersion of TiC particles in the molten matrix. TiC particles were dispersed into the basic aqueous solution of pH 12, and then nickel nitrate (Ni(NO3)2) as a Ni precursor was added at the TiC suspension. The interaction between TiC particle and Ni precursor is driven by the attractive force of Ni anion and TiC particle with negative charge [2]. In this work, two different methods were employed for preparing the reinforcement particle: in one method, TiC particle was simply coated with the Ni precursor (process I); in the other method, an inoculant (ferrosilicon) was used as a core particle (process II), which has been generally used in the foundry industry to improve crystal growth of carbon. In process II, the Ni-treated TiC particles were coated onto the surface of the inoculant using an inorganic binder converted into the glass phase by sol-gel reactions. Then, the reinforcement particles prepared by above two processes were injected into the molten matrix of 1500°C. The dispersibility of TiC particles was evaluated using various analytic techniques, including mechanical properties of composite. The reinforcement particles prepared by process II showed more homogeneously and uniformly dispersion into the matrix than those by process I, maybe resulting from the specific gravity effect of reinforcement particle coated on the inoculant. However, the wear resistance and hardness of composite were significantly improved independent of process, compared with pure metal without the reinforcement phase. Consequently, MMCs with reasonable properties have been successfully prepared through the homogeneous dispersion of reinforcement particle in the matrix.
GP-9 Synthesis of Hydrogenated Amorphous Carbon Films with a Line Type Atmospheric-Pressure Plasma CVD Apparatus
Masaki Agemi, Kotaro Kayama, Mayui Noborisaka (Keio University, Japan); Akira Shirakura (Kanagawa Academy of Science and Technology (KAST), Japan); Tetsuya Suzuki (Keio University, Japan)
Hydrogenated amorphous carbon (a-C:H) films, which have various excellent properties, have been applied in mechanical and chemical fields and expected to expand the range of application. For example, a-C:H films have been found useful in food packaging and a protection material for concrete construction fields due to its excellent properties such as a gas barrier. But there are few studies of long-term outdoor use of a-C:H films as protection materials. In general, a-C:H films are synthesized by PECVD process under vacuum condition less than 100 Pa. But this synthesis process has problems such as high cost for vacuum devices, long deposition time and a limited synthetic area. Therefore, it is necessary to realize low-cost and high-speed synthesis to a large area. A line type atmospheric-pressure plasma CVD apparatus can solve these problems.

In this study, we synthesized a-C:H films on polyethylene terephthalate (PET) substrates, which have the highest gas barrier properties of the common polymer materials, with a line type atmospheric-pressure plasma CVD apparatus. The gas permeability of the films on PET was measured by a gas permeation tester, the surface of the films was observed by scanning electron microscope (SEM). In addition, we conducted an ultraviolet ray transmission measurement, an accelerated weathering test and cyclic fatigue test.

According to observation by SEM, the a-C:H films consisted of tiny particles which sizes were around 200 nm.

Ultraviolet permeability of the a-C:H films was 0-28 % in the ultraviolet range 320-400 nm, while ultraviolet permeability of uncoated films was 50-80 %.

GP-10 Influence of the Deposition Pressure on Properties of a-C:H Films Synthesized Using a Dielectric Barrier Discharge
Ryohei Horikoshi, Kotaro Kayama, Mayui Noborisaka, Yohei Tachimoto (Keio University, Japan); Toshiyuki Watanabe (Kanagawa Industrial Technology Center, Japan); Akira Shirakura (Kanagawa Academy of Science and Technology (KAST), Japan); Tetsuya Suzuki (Keio University, Japan)

a-C:H films have been applied in various fields such as machine components because of their excellent mechanical properties: high hardness, low friction coefficient and low wear rate. While a-C:H films are generally synthesized under vacuum condition (less than 10 Pa), new plasma sources which make possible low-cost deposition have been studied in recent years. Among them, a dielectric barrier discharge (DBD) has attracted much attention as a method to synthesize thin films operating at around atmospheric pressure. There are many studies on DBD processing at the atmospheric pressure (100 kPa). However, few data are available on the properties of a-C:H films synthesized under various pressures. If properties of films synthesized at these pressures are revealed, it is expected their application range will expand. Therefore we have aimed to synthesize a-C:H films under various pressures (1-100 kPa) and find out the effect of impressed voltage and gas mixture ratio on physical properties of the films at each pressure. In this study, we synthesized a-C:H films by a DBD. Acetylene gas was used as a source gas and nitrogen gas was used as a main plasma generation gas. The morphological surface of the films was observed by SEM, the hardness of the films was measured by nano-indentation test, the surface roughness (Ra) of the films was measured by AFM and the structure of the films was analyzed by FTIR. The films consisted of particles under any experimental conditions. The films synthesized at 1 kPa consisted of particles of uniform size and their surface roughnesses were 0.4-0.9 nm. On the other hand, the films synthesized at 100 kPa consisted of particles of various sizes and their surface roughnesses were 2.2-2.7 nm.

GP-12 Surface Texture and Stress State in Post Polished Cathodic Arc PVD Coatings
Antony Pilkington, Steve Dowey, Jimmy Toton (RMIT University and Defence Materials Technology Centre, Australia); Liam Ward (RMIT University, Australia); Darren Griffett (Cuttertec Pty, Australia); Edward Doyle (RMIT University and Defence Materials Technology Centre, Australia)
Advanced PVD coatings have enabled major productivity improvements for engineering tooling when applied to highly loaded cutting and forming tools. Cathodic arc deposition technology has become the most flexible technique for tribological coating production, offering high levels of coating adhesion with high deposition rates of 3-5 microns per hour from alloy cathodes onto HSS and carbide tooling. Macro-particles resulting from the arc evaporation process are well known to compromise the practical component or tool performance in terms of friction, high temperature oxidation resistance and wear modes. Post polishing of arc PVD coatings is often employed to improve the surface texture of high performance cutting tools. Two techniques used in industry are dry blasting with high velocity grit particles and nylon abrasive filament (NAF) brushing. Micro-blasting of materials is known to impart a degree of desirable compressive stress into the sub-surface region. In this work the effectiveness of the treatments were compared on arc deposited coatings of CrN, TiAlN and AlCrN deposited onto M2 substrates. The changes in surface texture parameters due to the post polishing treatments were measured using an infinite focus microscope. The removal of the macroparticles was quantified by number density and volume change after treatment. Residual stresses from the coating process were investigated by sin2 Ѱ XRD techniques and by simpler coated beam deflection methods on thin substrates. Possible effects of the post-polishing on the residual stress state were compared by XRD measurement of lattice spacing. Changes in the tribology of coated samples with couples of metallic and ceramic counterfaces were investigated by a pin-on-disc wear testing apparatus over a range of loading conditions.
GP-13 Thermal Annealing Effect on Material and Electrical Properties of NbNx Gates on HfO2 Gate Dielectrics
Shin-Yu Lin, Yi-Sheng Lai (National United University, Taiwan)
In this study, niobium nitride films were deposited by a magnetron sputtering system. The incorporation of nitrogen into the NbNx films was controlled by N2/(Ar+N2) flow ratios. NbNx films were characterized by four point probe, grazing incident angle X-ray diffraction, scanning electron microscopy, and X-ray photoelectron spectroscopy. It is found that the resistivity increases whereas the crystallinity decreases as the N2/(Ar+N2) flow ratio increases. The NbNx/HfO2/Si capacitors were subjected to rapid thermal annealing at above 950oC and forming gas annealing at 400oC to study their effects on work function and thermal stability. The effect of nitrogen on the characteristics of NbNx films, before and after annealing, was also demonstrated.
GP-15 Electrochemical Behavior of the Ti6Al4V Alloy Implanted by Nitrogen PIII
G.S. Savonov (Instituto Tecnologico de Aeronautica - ITA & Instituto Nacional de Pesquisas Espaciais - INPE, Brazil); M. Ueda, R.M. Oliveira (Instituto Nacionale de Pesquisas Espaciais - INPE, Brazil); C. Otani (Instituto Tecnologico de Aeronautica - ITA, Brazil)
Plasma surface treatments have been used very often to enhance the surface properties of metallic materials. In this work, ion implantation of Ti6Al4V titanium alloy was carried out with nitrogen plasma immersion ion implantation in order to obtain improvements in its surface properties, such as corrosion resistance evaluated here. The microstructure and corrosion behavior of the implanted and unimplanted samples were examined, using SEM, XRD, GDOES and potentiodynamic polarization and impedance electrochemical spectroscopy tests in 0.6 M NaCl solution. It was verified that the nitrogen implantation by PIII created resistant layers to corrosive attacks. In corrosion tests by polarization, the implanted samples showed corrosion current densities reduction of about 10 times smaller than the Ti6Al4V alloy without treatment. Besides, it was also observed reduced passive current densities one order of the magnitude smaller. In all cases, the polarization curves were shifted to more positive values of potentials, indicating a better corrosion resistance of these PIII treated surfaces. The implantation process produced a thin TiN surface layer followed by Ti2N and TiNxOy layer, detected on GDOES. The titanium nitride stoichiometric and non stoichiometric, TiN and Ti2N, respectively were identified on diffraction patterns by XRD of the titanium alloy surfaces. The implanted samples exhibited corrosion behavior very similar on SEM images after nitrogen implantation. In these micrographs it was observed a compact and adherent oxidized layer, formed during the corrosion tests. These layers promoted an excellent polarization resistance of these Ti6Al4V surfaces on impedance spectroscopy tests also. This can be correlated with the formation of very thin, continuous nitride and oxinitride layer, which could retard chloride ions ingress into the substrate.

Work supported by FAPESP.

GP-17 Hydrophilicity of TiOx Thin Films by Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition
Sangsik Kim, Junguk Shin, Seungchun Oh (Institue for Advanced Engineering, Korea)
Over the past 10 years, various methods have been employed to coat TiO2 thin films on supporting substrates in order to realize important applications such as water and air purification and self-cleaning. Atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) processes are regarded as promising and cost-effective methods for the wide-area coating of sheets of steel, glass, polymeric webs, and other materials. In this study, TiOx thin films were deposited by AP-PECVD with dielectric barrier discharge. The characteristics of TiOx thin films were investigated as a function of the titanium tetraisopropoxide/H2O/He flow rate. The TiOx thin films were characterized by Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, X-ray diffraction, and field emission scanning electron microscopy. The hydrophilicity of TiOx thin films was investigated by a water contact angle test.
GP-19 Uniformity Enhancement of Incident Dose on Concave Surface in Plasma Immersion Ion Implantation Assisted by Pulsed Beam-Line Plasma
Zongtao Zhu, Xiubo Tian, Zhijian Wang, Chunzhi Gong, Shiqin Yang (Harbin Institute of Technology, China); Ricky Fu, Paul Chu (City University of Hong Kong, China)
Plasma immersion ion implantation (PIII) is a promising surface treatment technique for the irregular-shaped components. However, it is difficult to achieve uniform implantation along the surface of a concave sample due to the propagation and overlapping effect of plasma sheath. In this paper, a new ion implantation process is presented for improving the dose uniformity, especially for enhancing the lateral dose of the samples with concavities. In PIII enhanced by beam-line plasma process, a pulsed beam-line plasma with a certain energy is introduced form an external source into the concavity to suppress the sheath propagation and consequently to improve the dose uniformity. The time-dependent evolution of the potential, electrical field and the particle movement surrounding the surface of concave sample is studied by a particle-in-cell (PIC) simulation during a single bias high voltage (HV) pulse synchronized with the pulsed beam-line plasma. The influence of the energy of induced beam-line ions, plasma density and the amplitude of bias HV pulse on the incident ion dose, angle and energy distribution is discussed. Compared with the traditional PIII process, the dose uniformity of the sample surface is improved obviously due to the increase of the ions implanted into the lateral surface.
GP-22 Liquid-Phase Deposition of Low-k Carbon Nitride Films
Hideo Kiyota, Mikiteru Higashi, Tateki Kurosu, Masafumi Chiba (Tokai University, Japan)

Low-k insulating materials with the relative dielectric constants lower than 2 have been required for modern ultra large-scale integration (ULSI) technology. So far, many efforts have been made to develop new low-k interlayers for multilevel interconnection in ULSI. Carbon nitride (CNx) has a great potential for low-k material because of its excellent properties such as extreme hardness, high resistivity, and low dielectric constant. While the CNx films have been studied by using various deposition techniques, the liquid-phase deposition has been attempted as an alternative deposition technique by using organic liquid containing nitrogen. In this work, we have studied composition, bonding structure, and electrical properties of CNx films deposited using liquid acrylonitrile.

The CNx films were deposited by application of DC bias voltage to n-Si substrates immersed in acrylonitrile. Continuous, uniform films are obtained by applying both positive and negative bias voltage. Measurements of X-ray photoelectron spectra (XPS) show the presence of C, N, and O as major components of the deposited films. The atomic ratios of nitrogen to carbon (N/C) are determined as 0.2 – 0.3 for the as-grown samples. While the films deposited under positive bias mainly consist of C and N, considerable amounts of oxygen and sodium are also contained in the films deposited under negative bias. From analysis of C 1s and N 1s spectra, the major bonding state of the CNx film deposited under negative bias can be attributed to a mixed phase of C≡N and hydrogenated C=N bonds. On the other hand, the CNx film deposited under positive bias consists of the partially hydrogenated C=N bond.

Metal-insulator-semiconductor (MIS) structures were fabricated using the CNx insulating layers to evaluate the electrical properties of the deposited films. For the films deposited under positive bias, three distinct regions of accumulation, depletion, and inversion are shown in the C-V characteristics. On the other hand, MIS capacitor fabricated using the films deposited under the negative bias show anomalous behaviors such as a hysteresis shift in their C-V curves, suggesting that the CNx films deposited under negative bias application are unsuitable for the dielectric material. The lowest relative dielectric constant of 2.6 was determined using the accumulation capacitance and the thickness of the CNx film. Since the dielectric constant comparable to the existing low-k materials can be obtained, the liquid deposited CNx film is a promising ultralow-k material that is required for the multilevel interconnection for ULSI circuits.

GP-23 Deposition of In2-xFexO3 Films by Ultrafast Microwave Annealing Technique
Syed Qadri (Naval Research Laboratory); Charbel Fahed (George Mason University); Nadeemullah Mahadik, HuengSoo Kim, Michael Osofsky (Naval Research Laboratory); Mulpuri Rao (George Mason University); Yonglai Tian (L. T. Technologies)

Thin films of In2-xFexO3 were deposited on glass substrates using ultrafast microwave annealing technique. It is well known that SiC is an excellent absorber of microwaves. Hence, microwave heating provides ultra-fast ramp rates (> 1000ºC/s) and very-high annealing temperature (up to 2100°C) conditions required for depositing In2-xFexO3 films. In this method, microwaves (~ 1 GHz) from a source are amplified and directly coupled to the SiC through a microwave heating head. The indium iron oxide powder is placed on SiC sample. Since the sample is placed in microwave transparent surroundings, the microwaves are absorbed by the SiC sample only, leading to extremely high heating rates. This feature contrasts microwave annealing with resistive and inductive heating furnaces, where the heating source not only heats the sample but also the surrounding ambient. Similarly, as soon as the microwave source is turned off, the sample cools down rapidly because of cool ambient surrounding the sample. The glass substrate was placed directly on top of the hearth thus we were able to obtain deposited films. The structural, and transport properties of the films showed similar properties to that of the bulk samples.

GP-24 Incorporation of Silver Nanoparticles in DLC Films for Spatial Application
Sara Fissmer, Lucia Santos, Marcos Massi (Technological Institute of Aeronautics, Brazil); Polyana Radi (Instituto Nacional de Pesquisas Espaciais - INPE, Brazil)

The use of solid lubricant coatings with low friction coefficient began in the 90s with molybdenum disulfide MoS2 and Diamond-Like Carbon (DLC) films. DLC is currently one of the major solid lubricants and is used in several areas, including spatial area. The main problem addressed here is the degradation of DLC films by atomic oxygen from orbits satellite altitudes between 100 and 300 km. The use of silver nanoparticles dispersed throughout the film provides passivity silver oxide layers which reduces the erosion of the film.

In this paper DLC films with silver nanoparticles were obtained from an adapted magnetron sputtering system. DLC film structures were analyzed by RBS to identify silver presence. AFM analysis was used to identify silver nanoparticle distribution and grain sizes. The grain size was identified in a ranging from 50nm to 150nm. Raman spectra revealed that silver didn’t add any structural change in the film. After these analyses, the corrosion resistance of the films were tested in oxygen discharge using a RIE (Reative Ion Etching) reactor.

These corrosion tests were made in DLC films with and without silver and proved the efficiency of silver incorporation in DLC films. DLC films with silver had a corrosion rate five times lower (4nm/min) than the films without silver (16nm/min). Tribological studies of the films were investigated, in environment atmospheric and in vacuum, in order to verify whether the insertion of silver in film structure does not affect the film lubricant function and changes film morphology after the corrosion process.

GP-25 The Electrical Contact Resistance Endurance of Thin Silver Coatings Subjected to Fretting Wear: Influence of the Coating Thickness
Pawel Jedrzejczyk (Ecole Centrale de Lyon - LTDS, France); Siegfried Fouvry (CNRS - ECL, France); Pierre Chalandon (PSA, France)

The main requirement of the materials used in electrical connectors is to allow low and undisturbed electrical contact resistance. However due engine vibrations and thermal fluctuations fretting wear damages can be activated in the interface decaying the electrical conductance endurance. Since many years, different materials and coating configurations under fretting wear conditions were studied more or less extensively. Between them, the non-noble tin as well as noble gold coatings but very little have been addressed concerning the silver coatings. Keeping in mind the noble properties of silver, compared to tin, and its lower costs, compared to gold, this material can be considered as potentially interesting for electrical contact applications.

In this paper the electrical performance of pure silver coatings deposited on Ni interlayer and CuSn4 substrate, submitted to fretting conditions, are studied. Five different coating thicknesses; e = 1.3µm, 2µm, 3µm, 4µm and 5µm are considered. The step-by-step degradation process of the contact interface is investigated using interrupted tests and analytical surface expertises. We show that the electrical decay is directly correlated with the extent of surface degradation involving the silver elimination from the fretted interface and the constitution of a homogeneous Ni and Cu oxide insulating third body. In order to formalize the influence of the Ag coating thickness on the electrical lifetime, a quantitative approach is developed. It consists to report the electrical endurance (Nc) (i.e. when the electrical contact resistance overpasses a threshold value) as a function of the applied displacement amplitude. These “endurance electrical charts”, so called Wöhler-like curves in reference to classical “fatigue” approach”, are discussed and formalised. We show that above a threshold silver coating thickness, the electrical lifetime of studied contact is proportional to the coating thickness. This linear evolution is in fact consistent with the wear kinetic of the coating. Hence applying a local energy wear approach, the coating degradation but also the electrical endurance is formalised and the electrical endurance charts predicted.
GP-26 Plasma Nitrocarburizing of AISI 304 Stainless Steel Under Floating Potential
Thomas da Rosa (Technological Institute of Aeronautics, Brazil); Luis C. Fontana, Masahiro Tomiyama, João Santos (Universidade do Estado de Santa Catarina, Brazil); Homero Maciel (Technological Institute of Aeronautics, Brazil)

The plasma nitrocarburizing is considered a highly promising process for the surface modification of stamping parts because it can lessen distortion of the conformed lattice due to its lower treatment temperature (570–580ºC), and the treatment time for nitrocarburizing is far shorter than for nitriding.

In the present work, samples of austenitic stainless steel AISI 304 were submitted to a plasma nitrocarburizing process under floating potential in a graphite hollow cathode plasma. Experiments were carried out in two plasma working gas: 10% N2 + 80% H2 + 10% Ar + vaporized carbon and 20% N2 + 70% H2 + 10% Ar + vaporized carbon. The carbon vapor is obtained during the process by sputtering of the graphite hollow cathode surface. The hollow cathode was developed to allow the insertion of samples to be treated, with no contact with the cathode surface, thus characterizing a treatment under floating potential. A special configuration of the experiment allowed a nonuniform plasma heating such that a thermal gradient was produced along the sample. So, the microstructure of the layers formed could be evaluated for a range of temperatures (450 a 550ºC). In order to compare the processes, a condition of nitriding in the plasma working gas 20% N2 + 70% H2 + 10% Ar was investigated, also reproducing this temperature gradient. The techniques used for characterization of the samples were: X-ray diffraction, optical microscopy, scanning electron microscopy and microhardness vickers measurements.

The results show the formation of diffusion layers and the presence of phases of nitrides (ε-Fe2-3(C,N), γ’-Fe4(C,N), CrN) was detected in nitrocarburized samples of stainless steel AISI 304. They also indicate that plasma nitrocarburizing, in floating potential, is a feasible and effective process for the surface treatment of materials. It is alternative to conventional nitrocarburizing, forming thick and homogeneous composite layers, and can considerably increase the surface hardness of austenitic stainless steel AISI 304.

GP-28 The Characteristics of Interface for Pentacene/ZnO Hybrid p-n Junction Diode
Joo-Beom Kwon, Han-Hyoung Kim, Myoung-Soo Kim, Jang-Hwan Han, Da-Hyeok Lee, Beom-Hoan O, Seung-Gol Lee, El-Hang Lee, Se-Geun Park (INHA University, Korea)

The purpose of this work is to show the improvement of hybrid p-n junction diode performance by making the hydrophobic n-ZnO film surface. One of the most effective ways to investigate hydrophobic surface properties is a contact angle measurement. A low contact angle between a solid surface and a water-drop indicates that the surface is hydrophilic and has a high surface energy. On the contrary, a high contact angle means that the surface is hydrophobic. In general, most of an inorganic oxide surfaces show hydrophilic state while most of organic semiconductors show hydrophobic states. This mismatch has negative influence on crystalline formation of organic semiconductor deposited on oxide substrates. Therefore, in this work, wet-etching and PDMS treatment were performed to change the hydrophilic characteristics of the ZnO surface into hydrophobicity, and we observed that these changes make some differences in a grain size of an pentacene deposited on surface-treated ZnO and improve electrical characteristics of pentacene/ZnO hybrid p-n junction diode.

The grain size of sputtered-deposited ZnO increased with increasing RF power. After wet-etching in BOE (6:1) and grafting PDMS contact angles of surface-treated ZnO were 103.5, 110.9 and 115.8° at 100, 200 and 300W of RF power, respectively. It is indicated that the more hydrophobic nature, the larger grain size it could have. We confirmed that the grain size of pentacene deposited on the hydrophobic ZnO had lager than as-deposited. When ZnO was deposited at the 100W of RF power, the current density of normal pentacene/ZnO diode was 2.6A /cm2 at a forward bias of 12V, while that diode using surface treatment was about twice of 5.2A/cm2. Turn-on voltages were approximately 2.5 and 4.8 V for as-deposited and surface-treated pentacene/ZnO , respectively.

We have investigated the characteristics of interface for pentacene/ZnO hybrid p-n junction diode. the grain sizes of pentacene on treated-ZnO were larger than as-deposited ZnO. and the current-density increased with increasing hydrophobicity. This study present improvement of the electrical characteristics of hybrid p-n junction diode using pentacene and ZnO.

GP-30 Improved Nucleation and Transition in Fast Response Liquid Crystal Displays by Atmospheric Plasma Treatments
Gwomei Wu, Howwen Chien, Cheng Jieh Huang (Chang Gung University)

The optically-compensated-bend (OCB) mode pi-cell s exhibit fast-response time and wide-viewing angle characteristics. However, OCB-mode requires a transition of the liquid crystal molecule from an initial splay state to the bend state configurations before it can provide quick operation. It may need a high voltage or take a long warm-up time to transform to the bend state. In this study, t he polyimide alignment films have been modified to reduce the splay-to-bend trans i tion time by atmospheric pressure plasma beam treatments . The proposed process method was demonstrated to be highly effective in improving the overall transition time. The number of splay-to-bend nucleation sites in the liquid crystal cell s could be increased dramatically by up to 20 times at the initial stage, and the improvement in the cell warm-up time was achieved at 45-7 1 % reduction at 5.5 V. The various plasma processing parameters were optimized at the plasma power of 700 W, plasma distance of 25 mm, and plasma scan speed of 600 mm/sec . In addition, we maintained the excellent optical properties and response time characteristics for the OCB mode liquid crystal displays.

GP-31 Nitriding of Tool Steels in Electron Beam Excited Plasma
Petros Abraha, Junji Miyamoto (Meijo University, Japan)
We present the use of electron beam excited plasma in nitriding manufactured tool steels to increase the surface hardness to more than two times without altering the surface finish. The process eliminates the formation of the brittle and rough compound layer by hardening the process through diffusion of the plasma species in to the subsurface of the treated material. The applications of the process can be in areas of hard coating where adhesion of the coating material with the tool steel is of significant problem that needs to be addressed.

In this research, the sample tool material used was SKD 61 with a chemical composition of 0.36% C, 5.05% Cr, 1.21% Mo, 0.83% V, 0.92% Si, 0.43% Mn, 0.008% P, >0.001% S, Fe bal. The sample was heat treated, hardened and triple tempered to a hardness of 630 Hv. The sample was then treated in a nitrogen plasma produced by a beam current of 8 A under a working pressure of 0.4 Pa. The temperature was set at 500 degrees centigrade throughout the treatment time. The experimental set up includes bias terminals that reduce the ion density within the vicinity of the tool steel material. This is done to reduce nitriding due to ion and increase the chance of nitriding due to neutral species within the plasma.

The hardness distributions, thickness of the diffusion layer, surface roughness of the nitrided tool steels were examined to determine the mechanical and surface properties. The results of our experiments show that the surface hardness was increased to 1300 Hv, about two times more than the untreated one. The surface hardness is maintained to a depth of 30 micrometers and falls sharply and saturates to the hardness of the untreated samples in about 80 micrometers. The corresponding thickness of the diffusion layer also confirms the same results. The surface conditions were examined by scanning electron microscope and surface roughness measurements. The measured surface roughness was Ra=20 nm slight increase from the pretreatment value of 10 micrometers. However, there is no trace of the compound layer that is usually observed in the ion nitriding processes. This is also confirmed from the X-ray Diffraction peaks, as there is no visible Fe3N and Fe4N peaks observed. These results are attractive as they open new areas of application especially in the coating industry where adhesion remains to be the limiting factor in lots of the hard coatings applied to cutting tools and metal stamping punches.

Small-Scale Batch Nitriding of Tool Steels by Electron Beam Excited Plasma Source

Nitriding of cutting tools uniformly is an important criterion that has to be met for successful processing technique. A major difficulty of using plasma nitriding source for multiple batch-nitriding is the fixed positioning of the samples. The jigs and fixtures or just laying the sample on a solid surface compromises the exposure to the surrounding plasma.

GP-32 Multi-Functional ECR Plasma Sputtering System for Preparing Amorphous Carbon and Al-O-Si Films
X. Fan, Dongfeng Diao, K. Wang, Chao Wang (Xian Jiaotong University, China)
Divergent and Mirror-confinement Electron cyclotron resonance (ECR) plasma sputtering system including a cylindrical double-target source with shutter slider which can continuously change the target area ratio was introduced in this paper, and kinds of film (single layer of pure and composite film as well as multi-layer film) deposition processes can be realized by using this multi-functional system. The Divergent ECR (DECR) and Mirror-confinement ECR (MCECR) sputtering system was first compared to prepared the highly concerned amorphous carbon films, which showed a normally friction coefficient around 0.15. Through adding substrate heating during film preparation, the tribological properties of DECR carbon films were improved with an obvious self-decreasing process of friction coefficient to 0.05 and a much longer wear life. The designed double-target source with shutter slider was first used to prepare the Al-O-Si thin films in this paper, in which the target area ratio of silicon to aluminum was changed from 0.5 to 2. A composite structure of Al-O-Si thin films with a transmittance up to 89% at 193nm wavelength was obtained with the multi-functional ECR plasma system.
Time Period ThP Sessions | Topic G Sessions | Time Periods | Topics | ICMCTF2011 Schedule