ICMCTF2005 Session HP: Symposium H Poster Session

Thursday, May 5, 2005 5:00 PM in Room Town & Country

Thursday Afternoon

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2005 Schedule

HP-2 Industrially-Styled Room-Temperature Pulsed Laser Deposition of ZnO:Al Films
W. Waldhauser, J.M. Lackner, G. Jakopic, A. Fian (Joanneum Research, Austria)
The aim of the current study was depositing aluminium-doped zinc oxide films (ZnO:Al or AZO) by the room temperature Pulsed Laser Deposition (RT-PLD) technique in an industrially-styled multi-beam Nd:YAG laser coater. The influence of the Ar-O2 ratio in the deposition atmosphere on the morphology and texture was studied by means of atomic force microscopy and X-ray diffraction. All the AZO films are found to be polycrystalline with wurtzite crystal structure. The main texture features found in the films changes drastically from (100) to (002) and to (200) texture with increasing O2 content in the deposition atmosphere. This phenomenon is caused by growth stresses and has extremely high influence on the surface roughness and morphology. Additionally to the structural development, the optical and electrical properties of the coatings are strongly dependent on the oxygen content of the films. The optimised films (180 nm film thickness) possess high optical transmission (98 %) and extremely low electrical resistivity (40 ohm/square).
HP-3 Nano-Scale Electrical Phase-Change Recording on Ge-Sb-Te Media with Protective Overcoatings
C.S. Lee, S.-H. Kim, M.S. Suh, K.I. Lee, J.K. Shin (Korea Electronics Technology Institute, South Korea)
SPM-based storage technologies have been regarded as a potential future storage technology due to the high areal density and small form factor. In recent times, there have been some reports on reversible recordings in amorphous Ge-Sb-Te films using an atomic force microscope (AFM) having conducting cantilevers. Nano-scale phase-change recording were conducted by an electrically conducting tip in contact with the media. However, in contact mode operation, tip/media wear and contamination were major obstacles, which degraded the resolution, reproducibility, signal-to-noises ratio, and lifetime. Furthermore, it could be also issue that the phase-change was accompanied with an inevitable topographic change less than about 2 nm. On the other hand, Diamond-like carbon (DLC) films were well known as protective overcoatings due to the high wear resistance, low friction coefficient, and smooth surface. In the present work, we adopted the DLC films as protective layers for nano-scale electrical phase-changes media. They were prepared by a hybrid deposition system of r.f.-PECVD and RF magnetron sputtering. When electrical pulses were applied to amorphous carbon/GST films through the conducting cantilever, it was observed that reversible reading, writing, and erasing of data was possible with the electrical power and pulse times. The smallest possible recording region is 25 nm in diameter, which corresponds to a data storage density of 1Tbit/inch2. Structural information was investigated by AFM, Raman, DSC, XRD, and high resolution TEM analysis. The mechanisms of the reversible conductance change in the film are also discussed.
HP-4 Fabrication, Microstructure and Magnetic Properties of FeCoNiPAl Multicomponent Coatings
F.B. Wu, C.Y. Lee, J.G. Duh (National Tsing Hua University, Taiwan)
Multicomponent FeCoNiPAl magnetic thin films were fabricated by r.f. magnetron sputtering with a multigun deposition system. The manufacture of the multicomponent coatings were achieved with the employment of FeCo and NiP compound as well as pure Al targets. The application of thick Ni-P electroplating technique render the feasibility to provide phosphorous into the alloy coating during sputtering process. The film thickness and related deposition rate were determined to be approximately 200 nm and 10 nm/min, respectively. Through Auger electron spectrum depth profile inspection, homogenous elemental distributions for various elements were revealed, indicating a steady deposition flux for each component in the co-sputtering process. The FeCoNiPAl coatings exhibited an FeCo(110) dominated phase as observed in Glazing-angle X-ray diffraction patterns. The magnetic domain structures of various FeCoNi-based coatings were investigated by magnetic force microscopy (MFM). In addition, the relationship between magnetic properties and doping elements, P and Al, was intensively discussed.
HP-5 The Synthesis of WC/TiB2 Heterostructure Film using Closed Field Magnetron Sputtering System
H.Y. Lee, K.H. Nam, J.G. Han (Sungkyunkwan University, South Korea); J.H. Kim, S.M. Seo, E.H. Bae (Max Plasma Co., South Korea)
Physical vapor deposition (PVD) of thin films is now widely used for the production of hard and wear resistant coatings. At present, a variety of carbides, nitrides and borides of titanium and their mixtures or combinations are used as protective coatings. In previous works, new WC/MeN (Me : Ti, TiAl, Cr, CrAl) heterostructure film is developed in our laboratory. The microhardness of WC/MeN films is in the range of 30 ~ 45GPa, while the oxidation resistance of WC/MeN heterostructure film is proved about three times better than MeN film. In this study, new WC/TiB2 heterostructure film is deposited on Si wafer using closed field magnetron sputtering system. Bilayer repeat period( λ) which is from 2nm to 10nm is controlled to obtain a nano - layered structure. We have characterized our samples using XRD, cross - sectional TEM and electron diffraction pattern. Mechanical properties of WC/TiB2 heterostructure film are characterized using microhardness measurements, residual stress evaluation and scratch testing. Preliminary results indicate that the microhardness of this film was about 40Gpa. This detailed study will be presented.
HP-6 Fabrication of Periodic Nickel Silicide Nanodot Arrays using Nanosphere Lithography
S.L. Cheng, C.H. Li, S.W. Lu, Y.C. Chang (National Central University, Taiwan)

For the applications of nanotechnology, the periodicity and size uniformity of produced nanomaterials are the two of major challenges. In this study, the formation of 2D-ordered, size-tunable nickel metal nanodot arrays and the interfacial reactions of the nickel metal nanodots on Si substrates after different heat treatments have been investigated.

To fabricate the nickel and nickel silicide nanoparticle arrays, an effective and economical technique---polystyrene nanosphere lithography (NSL) was utilized in the present study. With this technique, the particle size of periodic Ni nanodot arrays was tuned from 85 to 150 nm. For these samples after annealing at 600-800 °C, the well-ordered polycrystalline and epitaxial nickel silicide nanoparticle arrays were successfully formed. Furthermore, for the samples annealed at 900 °C, amorphous SiOx nanowires were found to grow on individual nickel silicide nanoparticle. The surface morphology of nanoparticles and nanowires was examined with both scanning electron microscope (SEM) and atomic force microscope (AFM). In addition, transmission electron microscope (TEM) in conjunction with an energy dispersion spectrometer (EDS) was carried out for phase identification, microstructure examination and chemical composition determination. The results from an investigation on the formation of nanoscale nickel silicide dots arrays may be applicable to improve the novel sub-100 nm metal contact process techniques.

HP-7 Ir Oxide Coatings on Ti Electrode Deposited by UBM Sputtering Process
S.S. Kim (Institute for Advanced Engineering, South Korea); H.S. Uhm (Institute for Advanced Eingineering, South Korea); C.S. Choi (Institute for Advanced Engineering, South Korea)
Iridium oxide films have attracted much attention due to their excellent properties, especially electro conductivity, electro catalytic properties, and good stability in strong acidic solution for chlorine evolution and oxygen evolution. For example, they have been called dimensionally stable anode (DSA) in the chlor-alkali industry. In this study, iridium oxide coatings were deposited on pure titanium plates of electrolytic electrode by unbalanced magnetron (UBM) sputtering process. The coatings were deposited on various gas flow rate of argon gas and oxygen gas as well as process temperature up to 600°C. Relative chemical compositions of iridium oxide coatings deposited as a function of gas ratio were evaluated by AES. The characteristics of coatings were investigated by XPS, XRD and SEM. Moreover, electric conductivity of iridium oxide coatings was studied. We found that electro conductivity of iridium oxide coatings were depends upon the oxygen flow rates as well as process temperature. Detail results including formation behavior of iridium oxide coatings will be presented.
HP-8 A Study on 2-Layer Copper Clad Lamination (CCL) for Flexible Circuit Board
W.S. Jung, Y.M. Chung, H.Y. Lee, K.H. Nam, J.G. Han (Sungkyunkwan University, South Korea)
In recently, flexible printed circuit board (FPCB) is considerably increasing according as the miniaturization and light weight of electronic goods are desired. Because, FPCB has great advantages then Rigid-PCB such as thin, light weight, high flexibility, high degree of freedom for forming the circuit, good chemical stability, etc. Until now, 3-layer flexible copper clad lamination (FCCL) have used for FPCB because of low price and easy to make. This FCCL is composed of copper foil (conductor) which made by rolled-annealed or electro-deposited on the insulation film (Polyimide) using a bonding agent (copper/adhesive/polyimide). However, FCCL which is used these methods has some disadvantage such as poor adhesion, difficult for minimum thickness control, unfit for using alternating current, etc. Therefore, in this study, we use oxygen plasma pre-treatment, interfacial layer control and interposing interlayer by grid-assisting magnetron sputtering for improving adhesion strength. The adhesion strength is measured by fracture test and peel off test. Also the surface morphology changes and substrate alteration are investigated by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). In our preliminary results, the maximum adhesion strength is obtained from the case of forming the interlayer by grid-assisting magnetron sputtering. This case, also, can maintain almost 3 day without exfoliation in sodium chloride. The detail study will be present.
HP-9 A Comparative Study of Cu, Ag and Au Respectively in Contact with Si(100)
B.A. Julies, D. Adams (University of the Western Cape, South Africa); J.W. Mayer (Arizona State University)
The operation of electronic devices heavily relies on the specific properties of metal-semiconductor interfaces. Although semiconductor technology has proven very successful in utilizing the properties of materials, the knowledge on formation, structure and electrical behaviour of interfaces is still far from complete. While Cu, Ag and Au are all metals exhibiting low resistivities, they all behave differently when in contact with silicon. From the three metals, only Au reacts readily with Si at relatively low temperatures (below 350°C) in vacuum by way of extensive Au-Si interdiffusion. During annealing in vacuum, RBS suggests that the Ag/Si structure maintains its integrity, while Cu on Si forms a Cu3Si phase. The Cu3Si/Si(100) system results in an interfacial SiO2 layer to form a Cu3Si/SiO2/Si(100) structure when allowed to age in air. When annealing the above Cu/Si, Ag/Si and Au/Si structures in an oxidizing ambient, a surface silicon-oxide is only formed in the Au/Si system. A minimal amount of surface Cu-oxide as well as an interfacial silicon-oxide form in the C/Si system when exposed to a 350°C anneal in an oxygen ambient.
HP-10 Electroless-Plated Copper for UlSi Interconnect Metallization - A Comparison of the Catalytic Effect by Plasma Immersion Ion Implantation between Cu and Pd
J.-H. Lin, W.-J. Hsieh (National Tsing-Hua University, Taiwan); T.-L. Lee (National Chiao-Tung University, Taiwan); H.-C. Shih (National Tsing-Hua University, Taiwan)
The major goal of this paper is to understand the grain growth kinetics and gap-filling capability of the electroless plated copper films made on the Pd and on the Cu which acting as two different catalysts on the a-TaN surface by PIII. Both patterned and non-patterned wafers were electroless plated on the surface of the a-TaN/FSG/Si layered structure. Either Pd or Cu atoms were sputtered from a negatively biased target and ionized in an argon inductively coupled plasma (ICP). The metal ions (Pd or Cu) were adequately implanted into the substrate with a highly pulsed negative bias (~4000 V). The growth rate of electroless plated copper films was found to be different at the initial stage; a faster growth rate was observed for the Pd seeds than for the Cu seeds. The average grain size was found to be larger for the Cu seeds (~292.4 nm) than for the Pd seeds (~86.2 nm). After 700 °C annealing, the average copper grain sizes was increased to ~1112.4 for Pd seeds and ~1176.5 nm for Cu seeds. An observation by FESEM indicated that under higher substrate bias voltage (-4000 V) and higher plasma ionization (ICP feed power: 80 W), the electroless copper grew upward from the bottom of the vias on trenches layer by layer until a complete gap-filling.
HP-11 Chemcial Bonding States and Electronic Band Structure of SiO2-Incorporated La2O3 Films on n-GaAs (001)
H.H. Park, J.K. Yang (Yonsei University, South Korea)

A GaAs metal-oxide-semiconductor field-effect transistor (MOSFET) is actively investigated for goals like high-efficiency power amplifiers and high-speed digital processors. However, in spite of advantages in MOS gate such as large input voltage excursion and simple circuit design, most of III-V electronic devices employ a metal-semiconductor FET. The problem is not only deleterious interface states induced by unwanted interface formation with electrically active defect but also band offset with n-GaAs. Thus, a surface passivation of GaAs is required to exclude deposition-induced degradation and the oxide material should be thoroughly considered for the compatibility with GaAs.

Among a variety of oxide-GaAs systems, amorphous oxide films have been recently introduced for applying to a gate dielectric, since polycrystalline oxides showed a possibility of structural and electrical deformation. We have recently reported the effect of incorporation of SiO2into rare-earth oxide.1Rare-earth silicate amorphous film represented the enhanced bandgap and barrier height for electron. The band offset control is one of the most significant processes for the design of MOSFET when considering correlation of barrier height with electrical properties.

In this work, a rare-earth silicate amorphous film such as (La2O3)1-x(SiO2)x(0≤x<1) was employed to form a gate dielectric on n-GaAs for the control of the bandgap and band offset on n-GaAs (001). Sulfur passivation was adopted to form an oxidation-proof layer during the formation of gate oxide films. The change of energy band structure was estimated using photoemission and absorption spectroscopy. The electrical properties could be well understood in reference to the band offset change at the oxide/GaAs structure.

[1] J.K. Yang, M.G. Kang, W.S. Kim, and H.H. Park, Appl.Surf.Sci., 237 (2004) 251-255.

HP-12 Thermal Stability and Structural Characteristics of (Zr0.6Al0.4)O1.8 Thin Film on Strained SiGe Layer
Z.F. Di, P.K. Chu (City University of Hong Kong); M. Zhang, W.L. Liu, Z.T. Song, C.L. Lin (Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Hong Kong)
It is well known that the hole mobility is greatly enhanced in compressively strained SiGe layers deposited on Si. However, the use of SiGe in mainstream metal-oxide-semiconductor field effect transistors (MOSFET) has been plagued by the poor gate oxides due to the Ge segregation and serious degradation of the oxide properties during conventional thermal oxidation of the strained SiGe layers. In advanced MOSFET devices, the aggressive down-scalingof the gate oxide thickness has led to considerable interest in high-permittivity (high-K) materials having low leakage current, high thermal stability, and good interface properties comparable to the Si/SiO2 interface. In this paper, we report on the microstructure properties of high-K Zr0.6Al0.4O1.8 film fabricated directly on strained-SiGe substrates by ultra-high vacuum electron-beam evaporation (UHV-EBE) and then annealed in N2 at various temperatures. X-ray diffraction (XRD) reveals that the onset crystallization temperature of the Zr0.6Al0.4O1.8 film is about 900°C that is 400°C higher than that of pure ZrO2. The ~12 nm thick amorphous Zr0.6Al0.4O1.8 film and the ~3 nm thick amorphous interfacial layer (IL) are studied by high-resolution transmission electron microscopy (HRTEM). Our results show that there is no undesirable amorphous phase separation during annealing at temperatures below and equal to 800°C in the Zr0.6Al0.4O1.8 film. X-ray photoelectron spectroscopy (XPS) reveals that zirconium and aluminum are both in the fully oxidation states. The secondary ion mass spectrometry (SIMS) results indicate the formation of a nonstiochiometric silicate network and a Zr-doped SiO2 or Zr-silicate phase at the Zr0.6Al0.4O1.8/SiGe interface. Zr0.6Al0.4O1.8is thus a promising gate dielectric in next-generation SiGe-based microelectronic devices, especially at high temperature.
HP-13 Effect of Inter-Level Dielectrics on Electromigration in Damascene Copper Interconnect
Y.-L. Cheng, R.H. Liu (National Chiao-Tung University, Taiwan)
The impact of dielectric materials on the reliability of advanced Cu interconnect is of growing importance. The effect of barrier dielectrics and low-k materials on the electromigration in via-line structure of dual-damascene Cu interconnects was analyzed. The resulting electromigration behavior can then attributed to Cu barrier layer or low-k dielectrics, depending on the test structure. We had also observed that the low-k samples had a higher steady drift velocity, resulting a shorter electromigration endurance.
HP-14 Optimization and Integration of Trimethylsilane-Based Organosilicate Glass and Organo Fluorinatted Silicate Glass Dielectric Thin Films For Cu Damascene Process
Y.-L. Cheng (National Chiao-Tung University, Taiwan)
Integration of Organosilicate Glass (OSG) and Organo Fluorinated silicate Glass (OFSG) low-k materials deposited using trimethylsilane precursor as inter-layer dielectric (ILD) was investigated in this study. Although the full OSG structure showed the lowest capacitance, this structure suffered from integrated challenge, such as the poor deposition uniformity and cracking issues. We introduced a hybrid ILD scheme, which is stacked with OSG and OFSG dielectrics to address these issues. Processing for the hybrid ILD scheme was optimized for deposition uniformity, permittivity, mechanical strength, low-k material damage, and electromigration resistance. Optimized ILD structure was integrated into 0.13 um Cu damascene interconnects process technology and the integrated results showed a much improvement compared to the full OSG or OFSG structure. The results of this study indicated that the hybrid structure which consistes of the OSG and OFSG dielectrics can be successfully implemented in fully integrated interconnect structure.
HP-15 Structure-Dependent Mechanical Properties of Surfactant-Templated Mesoporous Silica Thin Film for Low-k Application
H.H. Park, S.B. Jung (Yonsei University, South Korea)

Due to a rapid decrease in physical dimension of today's device, RC-time-delay of interconnection is now a serious problem. As a possible plan, lower resistive metal and/or lower dielectric constant (k) material have to be applied. To say nothing of low dielectric constant, low-k dielectrics should have low leakage current, high breakdown strength, and chemical/mechanical stability. Especially, mechanical stability is important for maintaining the properties of the film during chemical-mechanical polishing (CMP) process. Recently, surfactant-templated mesoporous silica thin film has been drawn an attention for low-k application due to its ordered pore structure. It has been reported that ordered pore structure can give robust mechanical strength. Because mechanical properties of porous material depend on the pore structure, it is important to reveal structure-dependent mechanical properties of surfactant-templated mesoporous silica thin film.

In this study, surfactant-templated mesoporous silica films using Brij-76 (C18H37(OCH2CH2)10OH) block copolymer were fabricated and ordered pore structure was identified by glazing incidence small angle x-ray scattering (GISAXS) and transmission electron microscopy (TEM). Especially, the films with different mesopore size were prepared by controlling composition of Brij-76 in starting solution. The degree of pore ordering within the film and ordered pore structure such as density of the film, pore wall thickness was carefully examined. After adjusting pore structure of the films except mesopore size, mechanical properties of films were discussed on the point of view of micro-structure. Finally, electrical properties of film with optimized pore structure were evaluated for low-k application.

HP-16 SrTiO3-SiO2 Binary Oxides for High-K Gate Dielectric Applications
C.-C. Lin, L.-W. Lai, C.-Y. Lin, T.-Y. Tseng (National Chiao-Tung University, Taiwan)
Amorphous thin films of SrTiO3-SiO2 high-k dielectric were deposited on p-Si substrate by sputtering from the targets made by SrTiO3 and SiO2 powder mixtures. The composition, crystal structure and chemical bonding configuration were investigated by using Rutherford backscattering spectrometry, glancing incident angle X-ray diffraction and X-ray photoelectron spectroscopy, respectively. The SrTiO3-SiO2 thin films exhibited amorphous structure even at 900â"f annealing temperature, and the degree of amorphism of the films was strongly dependent on the SiO2 content. The Pt/SrTiO3-SiO2/Si MOS structure has a leakage current density of 3x10-9 A/cm2 at an electric field of 100 kV/cm. Based on the experimental results, correlations among the composition, bonding configuration, crystal structure and electrical properties are established.
HP-17 Structure-Resistivity Relationship of Iridium and Ruthenium Dioxide Films Reactively Sputter-Deposited at Various Temperatures from Metallic Targets
B. Laforge (CEA Grenoble DTEN / SCSE / LSEM, France); V. Rachpech (Laboratoire de Science et Génie des Surfaces, France); A. Billard (École des Mines Parc de Saurupt, France); R. Salot (CEA Grenoble DTEN / SCSE / LSEM, France)

The electronic conduction properties of various oxides deposited by physical vapour deposition processes make possible their use in the field of microelectronics. In this paper, we focus on conducting iridium and ruthenium dioxide coatings sputter-deposited in presence of argon-oxygen mixtures of metallic targets.

In a first part, we investigate the chemical and structural characteristics of these coatings deposited at low pressure and low temperature as a function of inlet oxygen flow rate. The resistivity of the films, measured with the four point probe method, is then related to their structure determined by X ray diffractometry.

A second part of this paper is dedicated to the study of thermal stability of the different deposited oxide films. For this, a first set of coatings deposited on steel parts are air annealed at different temperatures. A second set of oxide coatings is prepared by in situ heating at various temperatures the steel substrates during deposition using a heating substrate-holder able to reach about 400°C. The evolution of electrical resistivity of the films deposited or annealed at different temperatures, is finally discussed in relation with their structure and microstructure.

HP-18 A Novel Fabrication Process for Aln-Based FBAR Devices and Analysis on the Effects of Electrode Metals on Frequency Response Characteristics
B.H. Kim, D.H. Cho, D.Y. Kim, J.S. Park (Hanyang University, South Korea)
Mostly, film bulk acoustic resonators (FBARs) have a metal/piezoelectric/metal configuration. In this case, the material properties of piezoelectric layers dominate entire device performance of the FBARs fabricated. Therefore, it is important to maintain the excellent properties of piezoelectric materials. With current fabrication processes, however, the properties of piezoelectric films are strongly influenced by the electrode metals. And furthermore, due to the harmful effect of electrode metals the c-axis preferred orientation of piezoelectric films is deteriorated, and hence the device performance of FBARs is debased.We propose a novel fabrication process for AlN-based FBARs, which can entirely remove the influence of bottom electrode metals on piezoelectric films. AlN films are deposited on SiO2-coated Si substrates, contrasting with conventional processes where the films are deposited on bottom metals. The SiO2 layers serve as buffers which support the AlN films temporarily. The measurements of XRD, SEM, and AFM show that, in comparison to AlN films deposited on metal substrates, the AlN film deposited on the SiO2 substrate exhibit more desirable properties in (002) texture coefficient and RMS surface roughness. The FBAR devices fabricated by proposed process show the relatively better device performance. We have also examined the effects of kinds (such as Al, Cu, Mo, and W), shapes (such as rectangular, edge-smoothed rectangular, circular, and hexagonal), and resonance areas of bottom metals on frequency response characteristics (S11) of FBARs. Lighter and thinner bottom electrodes are found to produce better device performances. It is also observed that by increasing the resonance area the return loss is increased, but the resonance frequency is decreased. And besides, it is discovered that the hexagonal-type electrode shows to be more beneficial in fabricating the high-performance FBAR device, compared with other electrode shapes.
HP-19 Preparation of La0.7√sub 0.3MnO3/LaNiO3 Magnetic Oxide Superlattice Structure by RF Sputtering
H.-Y. Lee, H.-J. Liu (National Synchrotron Radiation Research Center, Taiwan)
Artificial superlattice structure consisting of the perovskite ferromagnetic oxide La0.7√sub 0.3MnO3(LSMO) and paramagnetic oxide LaNiO3(LNO)sublayers have been successfully grown on SrTiO3 substrate by rf magnetron sputtering technique. X-ray reflectivity and grazing incidence x-ray diffraction measurements were employed to characterize the microstructure of these films. The azimuthal scan around surface Bragg peak of the film shows that the epitaxial relationship between film and substrate can be achieved by sputtering. The clearly discernible main feature and satellite features on both sides of the substrate around (002) SrTiO3 Bragg peak indicate the high quality of the LSMO/LNO artificial superlattice structure have been formed on a SrTiO3 substrate. The distinct satellite peaks appear in (111) crystal truncation rod indicate that not only a well epitaxial relation with the substrate has been developed along the (111) plane but also a smooth surface and interface roughness. The higher deposition temperature the better epitaxity of the films are presented, and in-plane lattice shows more coherent with the substrate.
HP-20 In-Situ Monitoring of Byproducts on the Etching of (Pb,Sr)TiO3 Thin Film
C.I. Kim, G.H. Kim, K.T. Kim (Chungang University, South Korea)
Recently, ferroelectric thin films have received great attention for the application to high density memory devices such as dynamic and nonvolatile random access memory devices, infrared sensors, electro-optical devices, etc. Among the various ferroelectric films, the BST thin film was noticed as the most promising material due to its high dielectric constant and paraelectricity at normal operating temperature. Although BST possesses a satisfactorily high dielectric constant, it was known that a post heat treatment at a high temperature was essential to obtain good electrical property. The heat treatment at high temperature can cause deleterious effects on an electrode, barrier metal, and contact plug. Strontium titanate (SrTiO3) is one of the few titanates which is cubic at room temperature. But, the dielectric constant is lower than BST. The addition of lead into strontium titanate makes its dielectric constant higher and the temperature of crystallization lower. Therefore, PST thin film can be a promising material due to its high dielectric constant and paraelectricity at normal operating temperature. However, there is no report on the characteristics and mechanism of PST thin films during etching process. In this study, inductively coupled plasma etching system was used for etching PST because of its high plasma density, low process pressure and easy control bias power. The chemical reaction of byproducts on a PST thin film during the etching processes by chlorine based plasma was characterized in situ by quadrupole mass spectrometry (QMS). The chlorine based plasmas were characterized by optical emission spectroscopy (OES) and Langmuir probe analysis.
HP-21 Ferroelectricity of BiFeO3 Thin Films on LaNiO3 Electrodes Prepared by Metal Organic Decomposition Method
C.I. Kim, K.T. Kim (Chungang University, South Korea)
BiFeO3 (BFO) thin films were prepared by using metal organic decomposition method onto the LaNiO3 (LNO) bottom electrode. Both the structure and morphology of the films were analyzed by x-ray diffraction (XRD) and atomic force microscope (AFM) and high resolution Transmission electron microscopy (HR-TEM). The BFO thin films were successfully deposited on LNO bottom electrode. The BFO thin films were found to crystallize preferably with (001)-oriented structure on LNO bottom electrode annealed at 650°C for 1h. The BFO thin films annealed as low as 600°C showed excellent ferroelectricity, higher remanent polarization and no significant degradation of switching charge at least up to 5 *109 switching cycles at a frequency of 100 kHz and 5 V. For the annealing temperature of 650°C, the remanent polarization Pr and coercive field were 41.8 microC/cm2 and 60 kV/cm, respectively. The BFO thin films are promising for applications in micro-electro-mechanical systems and non-volatile memories.
HP-22 Dry Etching of LaNiO3 Thin Films using Inductively Coupled Plasma
C.I. Kim, G.H. Kim, K.T. Kim (Chungang University, South Korea); D.P. Kim (KDG Engineering Corporation, South Korea)
During the last decade, the ferroelectric thin films have been attracting much attention for nonvolatile memory application. Among ferroelectric material, Pb(Zr,Ti)O3(PZT) thin films have been studied because PZT has high dielectric constant. Platinum (Pt) film usually employed as an electrode for metal-ferroelectric-metal capacitor for 1 transistor-1 capacitor structure. However, Pt/PZT/Pt capacitors suffer from poor resistance on fatigue property due to generation oxygen vacancies in interface of Pt/PZT during exposing to a hydrogen environment. Therefore, metal-oxides (IrO2 and RuO2) have been studied for top electrode. However, IrO2 and RuO2 have the problems that metal-oxides are easily transferred to metallic Ir and Ru under vacuum and high temperature conditions, resulting in degradation of ferroelectric properties by H2 diffusion. Recently, LaNiO3 (LNO)/Pt electrodes are challenged as top and bottom electrodes. Because LNO has a pseudo cubic perovskite structure, the close lattice constant (3.84 Å) to PZT (4.04 Å) and a good metallic property. In order to realize highly integrated FRAMs, the etching process must be developed. In this case, the task of primary importance is to understand etching mechanism to optimize etching process. Up to now, there were several works devoted to the investigations of etching properties of the Pt thin films using chlorine-based plasmas. Unfortunately, there is only one report for LNO thin film etching. Therefore, the etching mechanism of LNO films should be understood in terms of etch system and gas mixture. In this work, we investigated etching characteristics and mechanisms of LNO thin films using BCl3/Cl2/Ar mixtures in inductive coupled plasma (ICP) system. The etching characteristics of LNO, SiO2, PR and Pt were investigated in the terms of etch parameters. Plasma diagnostic was represented by OES, QMS and Langmuir probes measurements.
HP-23 A Method of Coating and Implanting Carbon Nanotube with Iron by Inductively Coupled Plasma
C.I. Kim, J.S. Kim, G.H. Kim, K.T. Kim (Chungang University, South Korea); D.P. Kim (KDG Engineering Corporation, South Korea); O.J. Yoon, J.K. Jung (Chungang University, South Korea)
We describe a new method of coating and implanting Single-Walled Carbon Nanotube with Iron Pentacarbonyl(Fe(Co)5) using Inductively Coupled Plasma(ICP). We control the pressure of chamber by hydrogen gas . First, Iron Pentacarbonyl(Fe(Co)5) in bubbler was evaporated by heating. Second, Plasma treatment process was performed with hydrogen gas and the evaporated Iron Pentacarbonyl(Fe(Co)5), at rf-bias voltage. The result of this work shows Fe nanoparticles coated and implanted on Single Walled Carbon Nanotube(SWCNT). The morphology and structure was investigated by scanning electron microscopy (SEM), transmission electron microscory(TEM), and energy dispersive x-ray(EDX).
HP-24 Diffusion Low-Temperature Welding of Titanium with Alumina by Means of Nano-Scale Vacuum Coatings
B.A. Eizner (Technion, Israel)
Is shown on opportunity of management in the size of grains of a titanium coating on alumina due to variation by modes of sputtering.Influence of the size of grain on speed of diffusion is experementally shown.Stable welding of the titanium with alumina is achieved at temperatures 785-800 Celcius.Results of durability test of welded connection are submited.
HP-25 Ion-Assisted Deposition of Carbon-Doped Titanium Oxide Films as Visible-Light Photocatalyst
S.W. Hsu, M.C. Yang (National Dong Hwa University, Taiwan); T.S. Yang (Tzu Chi Institute of Technology, Taiwan); T.K. Chen, M.S. Wong (National Dong Hwa University, Taiwan)
Titanium dioxide (TiO2) has received much attention as a photocatalyst under ultraviolet light with energy is greater than its band gap (~3.0 eV). The effective utilization of visible light occupying the main part of the solar beams is one of the important subjects for the increased utility of TiO2. It was reported recently that titanium oxide with carbon substitutes for some of the lattice oxygen atoms, absorbs light at wavelengths below 535 nanometers and has a lower band-gap energy of 2.32 eV. Using an ion-assisted electron-beam evaporation system, two different gases of CO2 and CO was utilized in the ion source of to prepare TiO2-xCx films. The TiO2-xCx films maintain the structure of anatase TiO2, characterized by XRD patterns and Raman spectra. The absorption edges of the films shift from 400 nm to 435 nm. With the different gas in the ion source, the films exhibit different absorption in UV-visible spectra and thus, have different photocatalytic and hydrophilic properties under visible lights characterized by water-contact angle measurement and degradation of methylene-blue solution.
HP-28 Qualitative Failure Analysis on Laminate Structures of Windsurfing Boards using Thin Film Modelling Techniques
N. Schwarzer (Technische Universität Chemnitz, Germany); P. Heuer (ESAE, Germany)

Recently developed mathematical tools for the modelling of contact problems on thin film structures [1] are adapted to allow the investigation of arbitrarily mixed purely isotropic and transversally isotropic laminate structures [2]. The new tool is applied to model a variety of load problems resulting in the failure of windsurfing boards consisting of a relatively thin laminate shell and a soft polymer foam core. It is shown, that local impact and distributed bending loads due to "bad landing" after high jumps or contact with parts of the sailing gear (the so called rig) especially the front part of the boom are leading to the most critical stress distributions resulting in failure. So most of the investigated boards were damaged because the rider (Windsurfer) landed flat and thus produced a sudden impact force under his feet (impact defect). Other overloading occurred due to overturning of so called loop movements or the landing of the board exactly on respectively between two waves and this way producing high bending moments. Some of those typical loads are analysed in detail and the stresses occurring in the complex structure of the windsurfing boards are evaluated.

[1] V. Linss, N. Schwarzer, T. Chudoba, M. Karniychuk, F. Richter: "Mechanical Properties of a Graded BCN Sputtered Coating with VaryingYoung's Modulus: Deposition, Theoretical Modelling and Nanoindentation", Surf. Coat. Technol., accepted June 2004

[2] N. Schwarzer: http://archiv.tu-chemnitz.de/pub/2004/0077

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2005 Schedule