ICMCTF1999 Session FP: FP Posters

Tuesday, April 13, 1999 5:00 PM in Room Atlas Foyer

Tuesday Afternoon

Time Period TuP Sessions | Topic F Sessions | Time Periods | Topics | ICMCTF1999 Schedule

FP-1 Nanoindentation with Spherical Indenters
N.X. Randall, C. Julia-Schmutz (CSEM Instruments, Switzerland)
The mechanical properties of thin films as well as bulk materials can be measured by a variety of different techniques, with nanoindentation being one of the most recent developments in this growing field. By using a depth-sensing indentation method it is possible to obtain quantitative values for the hardness and modulus, and thus gain better insight into the response of a material to controlled deformation at such small scales. The Nano-Hardness Tester (NHT) is a new instrument using an already established method where an indenter tip with a known geometry is driven into a specific site of the material to be tested, by applying an increasing normal load. When reaching a preset maximum value, the normal load is reduced until partial or complete relaxation occurs. At each stage of the experiment the position of the indenter relative to the sample surface is precisely monitored with a differential capacitive sensor, giving a load/displacement curve characteristic of the sample material. By measuring the topography of the residual indent using Scanning Force Microscopy (SFM) and combining this information with the indentation data, it is possible to gain a fuller understanding of the indentation method and its effects on the material being tested. In addition, the true contact area can be directly measured from the SFM images and subsequently used to calculate the hardness of the material more accurately. This paper presents results for nanoindentation measurements performed with a spherical indenter tip of radius 10 µms which is shown to overcome many of the problems associated with pyramidal indenter geometries. In addition to standard hardness and modulus measurements, this method allows the stress-strain behaviour of a variety of bulk and coated materials to be investigated. The integrated SFM is shown to produce significant additional information concerning the true response of a material to instrumented spherical indentation at a nanometric scale, e.g., elastic/plastic deformation, cracking, phase transformation, pile-up/sink-in effects, etc.
FP-3 Effects of Nitrogen Ion Implantation on Nickel Silicide Contacts on Shallow Junctions
L.W. Cheng, J.-Y. Chen, S.-L. Cheng, J.-C. Chen (National Tsing Hua University, Republic of China); B.-Y. Tsui (Industrial Technology and Research Institute, Republic of China); L.-J. Chen (National Tsing Hua University, Republic of China)
NiSi possesses many advantages and appears to be a suitable candidate to replace TiSi2in future ULSI devices. Nitrogen ion implantation has been used to suppress the boron and arsenic diffusion as well as hot-carrier degradation. In this work, the effects of nitrogen ion implantation on nickel silicide contacts on shallow junctions have been investigated. The formation, growth, thermal stability and resistivity of silicides as well as dopant depth profiles have been studied. The phase formation of nickel silicides on nitrogen implanted (001)Si was suppressed and shifted to a higher temperature compared to that of blank sample. The sheet resistance was found to be nearly constant in a wide range of temperature in nitrogen ion implanted samples. The presence of nitrogen ion was found to improve the crystallinity of epitaxial NiSi2. The effects of nitrogen on nickel silicide formation become more pronounced with the increase in the dose of nitrogen implantation.
FP-4 Deposition of Silicon Carbon Nitride Films by Ion Beam Sputtering
J.-J. Wu (Academia Sinica, Taipei, Taiwan, R.O. China); C.T. Wu (Academia Sinica, Taiwan, R.O.C.); Y.-C. Liao (Academia Sinica, Taiwan, R. O. C.); T.R. Lu (National Chiao-Tung University, Taiwan, R.O. China); L.-C. Chen (National Taiwan University, Taiwan, R. O. C.); K.-H. Chen (Academia Sinica,Taiwan, R. O. C.); L.-G. Haw (Fu-Jen University, Taiwan, R. O. C.); C.T. Kuo (National Chiao-Tung University, Taiwan); K.-J. Ling (Fu-Jen University, Taiwan, R. O. C.)
Silicon carbon nitride films have been successfully synthesized at a temperature below 100 degree C from an adenine(C5N5H5)-silicon-mixed target sputtered by Ar ion beam. The effect of Ar ion sputtering voltage from 750 to 1250V, at which carbon nitride formed from an adenine target, on the film growth is examined in this study. The growth characteristic and film properties of the silicon carbon nitride films are compared with the carbon nitride films deposited from an adenine target to study the effect of silicon incorporation. XPS, IR XRD, TEM and ellipsometry were employed to characterize the composition, the structure, and the optical property of the films. From XPS measurement, the Si: C: N ratio of the film deposited at an Ar ion voltage of 750V was 1:2:1. However, the silicon content of the films was lowered as the Ar ion voltage increased. Only carbon nitride film was formed with the ion energy of 1250V. A strong and broad peak at 0.32 nm d-spacing, comparable to the calculated d-spacing of the ß-C3N4(110), was observed in the XRD spectra of the silicon carbon nitride films. The trend of Ar ion voltage to refraction index of the silicon carbon nitride films was similar to that of carbon nitride film deposited from an adenine target, the refraction index decreased with increasing Ar ion sputtering voltage. For an Ar ion voltage of 750V, the silicon carbon nitride film and carbon nitride film possessed almost the same refraction index. However, the refraction index was increased from 1.55 to 1.7 as silicon was incorporated in the film at Ar ion voltage of 1000V. Further examination of the refraction index relative to chemical bonding determined by XPS and IR in the silicon carbon nitride films will be discussed.
FP-5 Characterization of Sputter-Deposited Metallic Films by High-Vacuum Atomic Force Microscopy
C. Eisenmenger-Sittner, A. Bergauer, H. Hermann (University of Technology Vienna, Austria)

The importance of characterizing the structure and morphology of vacuum deposited films increases because of the advances in miniaturization and structural design. The bulk properties of a thin film are mainly determined by the evolution of the active surface during growth.

In this paper we present a system for the morphological investigation of the active surface of the growing film. An Atomic Force Microscope (AFM) is located in a measurement-chamber which is kept at high vacuum. Samples can be transferred to the measurement chamber by a transportable load-lock which can easily be attached to various sputter-deposition chambers. The samples are mounted on a specially designed heatable substrate holder which can be inserted into the measurement chamber. The AFM-measurements are performed with the sample still mounted on the substrate holder. Therefore it is possible to study the film surface at various stages of film growth since the sample is continuously kept under vacuum conditions. The system performance is evaluated by studying the surfaces of Al-films up to 5 micron thickness. Special attention is paid to differences in the evolution of the film surface with and without the growth interruption required for the AFM-measurements.

This work was supported by the Austrian "Fonds zur Foerderung der Wissenschaftlichen Forschung", Grant Nr: P-122 81-PHY.

FP-6 The Formation of Mo Crystallites in the Production of Mo/Si Multilayer Systems
J. Verhoeven, S. Abdali (Fom Amolf, The Netherlands)
The reflectivity of 13.6 nm radiation by a Mo/Si multilayer system (periodicity 6.8 nm) depends strongly on interface roughness. Intermixing of the components at the interface as well surface roughness of a freshly grown layer cause roughness. The formation of crystallites can induce surface roughness. We investigated crystal formation and surface roughness of a Mo layer deposited by evaporation. The crystallite formation turned out to depend on the layer thickness. The first 2.5 nm was observed to grow amorphously followed by crystallite formation during continuing deposition. We applied energetic krypton ions to reduce the roughness of a crystalline surface. However, due to preferential sputtering roughening followed initial smoothing. More over, ion induced crystallisation of the amorphous layer underneath was observed. Finally ion induced intermixing of the interface with the silicon layer underneath could not be avoided. We devised a procedure where we combined molybdenum growth, ion beam smoothing and deliberate intermixing to optically optimise a Mo/Si multilayer system.
FP-7 Parametric Study of the Effects of the Deposition Parameters on the Residual Stress Field in IAPVD Films using Finite Element Analysis
D.J. Ward, A.F. Williams (University of Salford, United Kingdom)

A finite element simulation that models the various processes that give rise to residual stresses in Ion Assisted Physical Vapour Deposited (IAPVD) Films has been developed at the University of Salford. The residual stress field in an IAPVD film comprises two main components: a thermal stress, which forms as the system cools to room temperature; and an intrinsic stress field which is the result of a number of processes that occur during deposition. Tensile stresses arise as a result of material attraction across certain sizes of void in the evolving coating. At the same time compressive stresses are occurring due to the creation of interstitial atoms and/or the entrapment of inert gas atoms. There is some debate as to which effect is predominant in the generation of the compressive stresses, but the pattern of the relationships between ion and atom energies, substrate temperature, and residual stress level is of the same form for each. Thus the computer model is valid for both processes.

The presence of residual stresses in IAPVD coatings can be beneficial, e.g. fatigue characteristics can be improved by inducing compressive residual stress. However, it can be highly undesirable, as in the case where the variation in stress across the thickness of the coating causes the coating to attempt to curl up and thereby peel away from the substrate.

Clearly it is desirable to be able to control very closely the residual stress fields that arise in IAPVD coatings. Because of the large number of processes contributing to the buildup of the residual stresses an experimental program to provide sufficient data for design purposes would be very expensive and impractical. A parametric study utilising the computer model is a more cost-effective way of providing an invaluable tool for the tailoring of residual stresses in IAPVD films.

This paper presents preliminary results from the finite element model and details of the validation of the model against experimental data.

FP-8 X-Ray Stress Determination for TiC Films with Fiber Texture
S.E. Ejiri, T.U. Ueda, T.S. Sasaki, Y.H. Hirose (Kanazawa University, Japan)

The residual stress in fiber textured films is hardly determined by the commonly used x-ray stress measurement i.e. sin2ψ method, because the method was constructed on the assumption the specimen was an isotropic elastic polycrystalline material. In recent years, several researchers have deduced the x-ray stress measurements in fiber textured films like [111], [100] and [110] in accordance with Hooke's law in the crystal reference frame.

In this paper, the relation between the stress and the measured lattice strain by the x-ray diffraction is reviewed for the fiber textured films in terms of elasticity analysis. The x-ray stress determination is introduced the weighted average around the normal direction of the x-ray diffraction plane for measured strain values. Moreover, the stress determination by the x-ray diffraction was experimentally applied to titanium carbide films with the <110> fiber texture, which were evaporated on steels by chemical vapor deposition (CVD). The determination was tried to verify and discussed in terms of the stress for TiC films.

FP-9 X-ray Stress Analysis of Nickel-Cobalt-Phosphorous/α-Si3N4 Composite Coating
T.S. Sasaki, M.H. Hira, Y.H. Hirose (Kanazawa University, Japan)

Some parts in a gasoline engine such as piston lings and liners are required to possess material characteristics of the heat-resistance, the corrosion-resistance and the wear-resistance. In order to cope with the problem, chromium plating has been used so far in this field. Ni-Co-P/α-Si3N4 composite plating is anew developed technique to the above purpose.

The purpose of this study is to make clear the state of residual macro-and micro stresses by measuring residual phase stresses in both matrix (Ni-Co-P alloy) and α-Si3N4 particles by means of the method of x-ray stress measurement.

Change in the state of residual stress due to the manufacturing process was also examined, for which specimens in the state of after plating, heat-treatment for hardening and barrel polishing respectively were prepared and used for the x-ray measurement.

It was found from the present study that the residual stress is the composite plating used in this study varied with not only the manufacturing process but also the thickness of the plating, mean diameter of the second particles (α-Si3N4) and their volume fraction. Detail residual stresses, such as the macro-and micro stress and the phase stress in each constituent, for these specimens were obtained in this study.

FP-10 X-ray study on both Preferred Orientation and Residual Stress of Diamond Film
H.H. Hirose (Nanao Junior College, Japan); T.S. Sasaki (Kanazawa University, Japan)

It has already been possible to deposit diamond film on metals or ceramics by the CVD method, and their industrial applications are discussed so far. For example, with the film coating, many properties of materials such as wear and corrosion resistance are highly improved. However, it is important to establish the optimum film deposition method and condition, and to evaluate the material strength of the film for the industrial use of the diamond thin film without debonding and deformation. Residual stresses generated during CVD process plays important role in debonding and deformation of the film. Thus, measurement of residual stresses in the film is very important in evaluation of the diamond film.

The purpose of this study is to make clear the state of the preferred orientation and the residual stress, which is an avoidable during the growth of the film. These are evaluations are necessary for the application of the diamond film. The experiment was performed using the specimens with different film thickness as well as different surface process on the substrate.

FP-11 Determination of Residual Stress in Thin Films by Means of The Method of the Low Incidence Angle X-ray Stress Measurement
T.S. Sasaki (Kanazawa University, Japan); K.S. Sasaki, S.Y. Yasukawa (Rigaku Corporation, Japan); H.H. Hirose (Nanao Junior College, Japan)

The growth process of thin films is affected by its substrate and the boundary between their and the thickness of the film, so that the structure and the state of the residual stress of thin films depend on the depth from the film surface.

In this study, the method of the measurement of the depth profile of the residual stress with depth using the low x-ray incidence angle method was investigated. A newly developed x-ray instrument was used foe purpose in this study, in which the parallel beam method was used for its x-ray optics. On the analysis of the diffraction data obtained from the experiment, the analysis method in which the effect of the stress gradient with depth was applied. The thin film used for the experiment was Silicon oxide (SiO2) film deposited on Si wafer.

FP-12 Characterization of Copper Electroplating on Titanium Nitride Films
J.C. Hu (National Tsing Hua University, Taiwan, R.O.C.); T.C. Chang (National Nano Device Laboratory, Taiwan, R.O.C.); L.J. Chen (National Tsing Hua University, Taiwan, R.O.C.); W.F. Wu (National Nano Device Laboratory, Taiwan, R.O.C.); J. Lin (Merk-kanto company, Taiwan, R.O.C.); C.Y. Chang (National Chiao Tung University, Taiwan, R.O.C.)

The transition from aluminum to copper interconnects in semiconductor manufacturing is rapid by progressing. Two primary factors drive this transition are the lower resitivity and the increased electromigration resistance that copper offers relative to aluminum. Copper electroplating is the most techniques for copper metallization for ULSI. The electroplating method has advantages of low processing temperature, short processing time, and simple deposition facilities.

In the present study, the suitability of Al as a seed layer is evaluated. A 30- to 50-nm-thick seed layer by sputtering before copper electroplating was deposited on TiN films. The advantage of Al as a seed layer is well known in ULSI and Al has a good adhesion with TiN. However, this seed layer can not be electroplated directly in the present study because it will be dissolved in acidic copper electroplating solution. The seed layer must be activated by a Pd activation solution. The high-throw electroplating electrolyte was composed of CuSO4.5H2O (50-100 g/l), H2SO4 (170-200 g/l), HCl (50-100 ppm), and a small amount of additives. The values of applied electrical current were 40-100 mA. Transmission electron microscope and X-ray diffractometry were utilized to investigate the microstructure and crystal orientation. Auger electron spectrocopy was applied to determine the stoichiometry and uniformity along the depth direction. The morphology was studied by a field emission scanning electron microscopy. XRD peaks show clearly a small (002) peak and strong (111) peak of pure Cu films deposited on TiN. The evolution of crystal growth orientation of the copper electroplating can be explained by considering surface energy and strain energy of different crystal planes. The electromigration resistance of copper lines was reported to be strongly influenced by the copper texture. In addition, electroplated copper films can be influenced significantly on Pd activation solution. Therefore, the interfaces of Cu/Pd and Pd/Al are investigated. The surface of as-deposited copper films was rather rough and resulted in the increase resistivity. Bright and smooth surface of copper film can be achieved by chemical mechanical polishing (CMP) technology. The thermal stability of Cu/TiN/Si structure has been investigated.

FP-13 Structural Evolution of Nano-Porous SiO2 Aerogel by Oxygen Plasma Treatment for Intermetal Dielectrics
H.H. Park, H.R. Kim, J.H. Kim (Yonsei University, KOREA)

The continued miniaturization towards sub-quarter micron feature size mandates the search for low dielectric constant interlayer dielectric. SiO2aerogel films have many excellent properties for intermetal dielectrics due to their unique porous structure formed during supercritical drying process. Nano-porous SiO2aerogel films have low dielectric permittivity through the incorporation of micropores into the SiO2network. But from the characteristics of sol-gel derived process, skeletal network of SiO2aerogel film contains a number of Si-OR (R=alkoxyl group) and Si-OH bonds and adsorbed water as internal species.

An oxygen plasma treatment using inductively coupled plasma to nano-porous SiO2aerogel film at room temperature was introduced to control the internal surface chemical species for applying to intermetal dielectrics. In this work, the microstructural evolution of SiO2aerogel through oxygen plasma treatment was investigated using scanning electron microscopy, transmission electron microscopy, small-angle X-ray scattering, and nuclear magnetic resonance. In addition, the changes of chemical species and surface chemical bonding states after the treatment were observed using Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, and Rutherford backscattering spectrometry.

FP-14 Aging Effect of SiO2 Silica Xerogel Film for Intermetal Dielectric Application
H.H. Park, J.H. Kim, H.R. Kim (Yonsei University, KOREA)

In ultra large scaled integration, as the size of devices in multilevel microelectronics becomes much smaller and their structure more complex, they require lower dielectric constant in the IC fabrications to overcome the interconnection delay which is termed resistance-capacitance delay. Low density SiO2xerogel film prepared by two-step procedure is a novel material with lower dielectric constant than any conventional SiO2film by chemical vapor deposition. Theoretically, dielectric constant depends on porosity, for example, dielectric constant of a film with 50% porosity is about 2.5. Therefore, a major issue for xerogel film is the porosity due to its inherent porous nature.

After gelation but before complete drying, the chemistry and structure of a gel may be dramatically altered in aging process. During aging, terminal Si-OR (R=alkoxyl group) and Si-OH groups will continue to condense to form Si-O-Si bonds plus either ROH or H2O by-products. Consequently, the porosity of SiO2xerogel thin film prepared using a sol-gel technique could be controlled by aging parameters and an increase in the microstructural strength of the SiO2xerogel film could be also obtained.

In this work, we investigated the changes in microstructure and properties of SiO2xerogel films by varying aging parameters such as time, temperature, and solution. The network structure of xerogel film was evaluated using scanning electron microscope (SEM). The density and porosity of xerogel film was deduced from Rutherford backscattering spectrometric analysis and cross-sectional SEM. X-ray photoelectron spectroscopic and Fourier transformed-infrared spectroscopic analyses were also conducted to investigate the composition and surface bondings of the films. From the above analysis, it could be found that the 3-dimensional network structure of the film is changed by aging process. These microstructural changes accompanies the changes in porosity and electrical property of the films.

FP-15 The Effects of Internal Surface Bondings and Microstructure of SiO2 Aerogel Film on its Dry Etching
H.H. Park, S.J. Wang (Yonsei University, KOREA)

The etching behavior of SiO2aerogel film was investigated to know the feasibility of application to integrated circuits as an interlevel dielectric (ILD) material. SiO2aerogel film has porous structure and its particles are covered with surface terminal chemicals, which can play an unique role unlikely with other SiO2films. Because of these properties, the etching behavior of this material depends strongly on its microstructure and surface terminal coverages.

In this work, the etching behavior of SiO2 aerogel was examined with the microstructural and surface chemical points of view. For this, SiO2 aerogel and thermally grown SiO2 films were etched with a magnetized inductively coupled plasma using various etching gases. Ar plasma etching was done to reveal the physical effect of the etching. SF6gas plasma was chosen as a fluorocarbon residue-free etching. An usual C2F6gas plasma etching was also carried out to investigate the etching behavior of SiO2 films with the existence of fluorocarbon polymeric residue. From these experiments, the etching behavior of SiO2 aerogel film could be interpreted. Before and after the etching procedure, surface morphologies and particle shape were observed using scanning electron microscopy. Surface bonding states were analyzed using Fourier-transformed infrared and X-ray photoelectron spectroscopy. Due to the porous structure and high C, H contents of SiO22 aerogel film unlike the other SiO2 materials, it shows a distinguished unique etching behavior.

FP-16 Surface Distribution of As-S Bonds in Passivated GaAs According to the Variation of As Excess Content on GaAs Surface
H.H. Park, J.W. Kim, M.G. Kang (Yonsei University, KOREA)

For the application of GaAs to high-speed semiconductor devices, the control of surface characteristics of GaAs is very important. There have been a lot of works on S-passivation treatment which improves the electrical properties of GaAs device, i.e. leakage current and breakdown voltage. But the mechanism of passivating GaAs surface is not agreed with each other. Especially, the state of elemental As on the etched surface, which forms a bond with S and finally a passivation layer, is not clear.

In this work, cleaned GaAs surface was prepared by wet-etching using acid base solution. An elemental As was produced and remained on the etched GaAs surface irregularly. After S-treatment using (NH4)2SXsolution, the elemental As disappeared completely and the surface was passivated with sulfur in a monolayer thick, which distributes with crystallographically regular site. The (NH4)2SXsolution treatment limited a thickness of passivation layer and dissolved elemental As partially. (NH4)2SX vapor was also used to passivate the etched GaAs, with this procedure, the dissolution of elemental As could be eliminated during the passivation. The amount of elemental As could be controlled during the etching before the passivation. The passivation GaAs surface containing various amount of bonds with S were revealed to have different surface structure and chemical bonding state by angle resolved X-ray photoelectron spectroscopic analyses. The surface structure was conformed by low energy electron diffraction observations.

FP-17 Stress and Surface Studies of CdS Thin Flms
G. Laukaitis, S. Tamulevicius (Kaunas University of Technology, Lithuania); M.P. Valkonen, M. Leskelä, S. Lindroos (University of Helsinki, Finland)

The deposition of thin films or multilayer systems is always accompanied by stress generation both in substrate and in growing film. Stress and adhesion determine the stability of a thin film/substrate composite and thus the life time of a component, since the composite may fail by cracking, delimitation or buckling. Substrate bending, and thus changes in the electric properties of semiconductors, lead again to the defects in fabricated electronic devices or shifts in bandgap in semiconductors.

In this work we have used cantilever technique to measure the stress in cadmium sulfide thin films and have constructed the laser interferometer to perform these measurements. Cadmium sulfide is a promising candidate as material for emitting optoelectronic devices, namely several II-VI compounds have been used in the quantum well structures. Polycrystalline CdS can be applied as wide band gap window material in a CdTe/CdS heterojunction solar cell. The single crystal (100)GaAs has served as substrate material for these multilayer structures. Cadmium sulfide thin films were grown on (100)GaAs by successive ionic layer adsorption and reaction (SILAR) technique from aqueous precursor solutions. The stress of the thin films was characterized by means of laser interferometry, composition morphology by Electron Spectroscopy for Chemical Analysis (ESCA) and by Atomic Force Microscopy (AFM). Correlation between the growth mode and the residual stress level is demonstrated. The changes from three-dimensional to two-dimensional growth of the film results in the change from tensile to compressive residual stress.

FP-18 Characteristics of Silica Xerogel Films After Plasma Treatments with Various Gases (H2, He, O2, N2, Ar).
H.H. Park, J.J. Kim (Yonsei University, KOREA)

The amelioration of ULSI circuit demands the intermetal dielectric materials of low-¥ê(dielectric constants) because these materials permit us to obtain lower power consumption and reduced crosstalk. Porous silica xerogel film which contains large internal surface area, typically in the range of 500~1000 m2/g, is a promising ultra-low dielectric material with high thermal stability for ULSI interconnecting application. However, silica xerogel films were found to have a number of surface coverages which would greatly influence the dielectric constant.

We have investigated the effects of various plasma (H2, He, O2, N2, Ar) treatment on silica xerogel films in order to strengthen the silica xerogel film and improve the surface chemical bonding nature of silica xerogel film. The plasma treatments could reduce the density of silanols (Si-OH) and methyl (-CH3) groups. The thickness reduction and particle size growth were observed. The partial etching of silica xerogel film was also observed and the etching rate was found to depend on the plasma treatment time and bias-voltage.

This work could reveal the physical, chemical, electrical, and thermal properties of silica xerogel film through curing with various gases plasma. The modification of xerogel film by each plasma is related to the radiation damage and the reconstruction of internal structure during the plasma treatments. The role of reactive gases seems to be very important to improve the quality of silica xerogel film.

FP-19 The Effective Co-Passivation of Metal/GaAs Interface with the Sulfur and Hydrogen Plasma
H.H. Park, M.G. Kang, J.W. Kim (Yonsei University, KOREA)
In application of GaAs to device integration, the reliable and controllable Schottky contact property of metal/GaAs is urgently necessary to yield reproducibly. However, it is limited to develop furthermore because of the existence of defects at/near the metal/GaAs interface. In this study, a novel method of passivating the defects with sulfur and hydrogen plasma at/near metal/GaAs was investigated. The sulfur-passivation was employed to passivate the defects at GaAs surface, and the defects in GaAs bulk adjacent to the surface were co-passivated using hydrogen plasma. the native oxide of GaAs was completely removed by the surface treatment using HCl solution. The GaAs surface was then passivated with sulfur in a monolayer thickness with (NH4)2Ssub xtreatment. After the treatment, the surface could be protected from air-oxidation and preserved surface oxide-free during a followed metallization. In particular, ultra thin Au metal of 5 nm thickness was deposited on the sulfur-passivated GaAs surface prior to hydrogen plasma treatment, in order to protect the GaAs surface from plasma-induced damage. The defect density of metal/GaAs interface was greatly reduced by this co-passivation method, compared to GaAs treated with either sulfur or hydrogen. The defects was evaluated by quasi-static C-V method, low temperature photoluminescence, and deep level transient spectroscopy. The chemical bonding state of GaAs before and after Au-metalization was characterized using angle-resolved X-ray photoelectron spectroscopic technique.
FP-20 Influence of Annealing on the Properties of the Low - Vacuum Plasma Spray Deposited Ni/Al Catalytic Coatings
R. Dargis, S. Tamulevicius, K. Slapikas, A. Meskauskas (Kaunas University of Technology, Lithuania)
In the recent work thermal annealing of plasma sprayed Ni/Al catalytic coatings was investigated. The coatings were plasma sprayed on Cu substrate at low - vacuum conditions (approximately 1 Pa) and were heated in Ar atmosphere for 5 hours. Scanning electron microscopy, optical microscopy and X-ray diffraction techniques were used to study influence of annealing on the morphology and phase transformation in the Ni/Al coatings. It was observed changing of morphology of the coatings to smoother and less porous during thermal treatment. At the same time phase transformation with appearance of new alloys took place. Diffusion of Al to surface of the coating also was observed. All these changes greatly influence electrochemical activity and other properties of the deposited Ni/Al coatings.
FP-21 Effect of SC-1 Process on The Double-Layer Poly-Si Etched with Phosphoric Acid for High Density DRAMs' Capacitor
C.-C. Hwang, W.-K. Lai, T.-H. Teng (National Chiao Tung University, Hsinchu, Taiwan, R. O. C.); M.-J. Lai, Y.-H. Chang (National Taiwan University, Taipei, Taiwan, R. O. C.); H.-W. Liu (Academia Sinica, Taipei, Taiwan, R. O. C.); H.-C. Cheng (National Chiao Tung University, Hsinchu, Taiwan, R. O. C.)
In this article, a novel capacitor structure, roughened double layer poly-Si as the bottom electrode, that has the phosphorus-implanted double-layer poly-Si films treated with phosphoric acid (H3P04) and etched by SC-1 solution for various time to form the roughened poly-Si electrodes is presented. The phosphorus-implanted poly-Si can be etched by phosphoric acid along the grain boundaries to form porous-Si and engraved structure. The SC-1 solutions can change the porous-Si and engraved structure into micro-island structures, which can efficiently increase the surface area of storage node. Double poly-Si layers were used in this work to avoid poly-Si lines broken when they were immersed into hot phosphoric acid. The thicker second poly-Si layer is, the higher capacitance can be achieved. Via such a simple technique, superior capacitors with a capacitance 3.6 times higher than those with the flat electrodes have been successfully achieved to simultaneously fulfill the electrical characteristics for 256 Mb DRAMs and beyond. The effects of H3P04 temperature and the role of the SC-1 etching time have been also discussed.
FP-22 X-Ray Structural Characterizations of YBa2Cu3O7-δ Films Made by Pulsed Laser Deposition on LaAlO3 and MgO Substrates
S.B. Qadri, M.S. Osofsky, E.F. Skelton, J.S. Horwitz, D.B. Chrisey (U.S. Naval Research Laboratory); D.A. Rudman, F.J.B. Storky, L.R. Vale, R.H. Ono (National Institute of Standards and Technology)

We have studied the effect of oxygen pressure (PO2) during pulsed laser deposition of YBa2Cu3O7-δ (YBCO) using high resolution x-ray diffractometry and topography with synchrotron generated x-rays. In some cases, the rocking curves of the YBCO (005) and (006) diffraction peaks showed multiple peaks characteristic of slightly misoriented crystalline grains in the films, but very narrow peak widths, e.g., full-width at half maximum of 0.13±0.03 degrees. The magnitude of the c-axis lattice parameter was found to be a monotonic function of PO2; c increased as PO2 decreased. Based on measurements of the integrated intensities of the (005) and (006), the films were found to be fully oxygenated.1 Topographs were made on Beamline X23A3 at the National Synchrotron Light Source, Brookhaven National Laboratory. Analyses of these topographs showed that the films fabricated at lower values of PO2 were uniformly strained. Comparisons of topographs from films made with different values of PO2 and on both LaAlO3 and MgO substrates were also made. Those grown on MgO substrates tended to have a much smoother morphology, as compared with those grown on the LaAlO3 substrates. Additional details of this work will be discussed.

1 J. Ye and K. Nakamura, Phys. Rev.-B 48, 7554 (1993).

FP-23 HREM of Multi-Phase Crystalline Nanoparticles
S. Tehuacanero (Instituto de Fisica, UNAM, Mexico); J.A. Arenas-Alatorre (ININ, Mexico); P.S. Schabes-Retchkiman (Instituto de Fisica, UNAM, MEXICO)

The study of particles of a few nanometers in size has become a rapidly developing field. Metal, semiconductor and ceramic nanoparticles appear in many applications, some in a natural way (i e precipitates in a matrix), and some have been developed with special engineering properties, like quantum dot diode lasers, magnetic devices, etc.. They also appear in catalytic systems, for instance Pt/Alumina, Pt/graphite, etc. In this work we present our efforts towards understanding the contrast present in High-Resolution Transmission Electron Microscopy (HREM) of multiple-phase systems, such as Ni-Pt grown on amorphous substrates. The problem is interesting from the point of view of catalysis where one needs to know whether there has been alloying of the metals at the nano-scale or the phases have been segregated.

Specimens were prepared by sputtering of Pt and Ni in a clean system on amorphous carbon coated grids. In order to obtain alloys, Pt and Ni thin films have been co-sputtered,then reduced in a hydrogen flow to promote the alloying of the particles. HREM characterization was performed using a JEOL 4000EX microscope. Image simulations were performed using a full dynamical calculation with Cerius’ in a Silicon Graphics computer. The particles were constructed by modeling a super-cell of the core-shell type.

Using the techniques mentioned it has been possible to identify a) metal Ni nanoparticles covered by thin graphite surface films. b) Ni nanoparticles covered by a few NiO layers; c) In Pt-Ni co-sputtered particles: Pt core with a NiO film covering the particles; d) Alloying of Ni Pt particles. A good crystallographic guess has to be made of how the shell “covers” the core particle, in order to obtain reasonable results. This can be achieved through careful observation of, for instance, moire fringes.

We are grateful to L. Rendon, C. Zorrilla and L Beltran del Rio for technical help.

FP-24 Surface Morphology of Tetrahedral Amorphous Carbon Films by Scanning Probe Microscopy
L.K. Cheah, X. Shi, E. Liu, Z. Sun, B.K. Tay, J.R. Shi (Nanyang Technological University, Singapore)
Surface morphology of tetrahedral amorphous carbon (ta-C) films deposited by filtered cathodic vacuum arc technique is reported. The morphological properties of the ta-C films have been studied over the C ion energy ranged from 20 to 200 eV. Electron energy loss spectroscopy was used to obtain the sp3 content in the films. All the films studied are atomically smooth with roughness below 0.6 nm. The minimum roughness (0.12 nm) occurs at the highest sp3 content. The morphological result suggests that there appears to be two different growth mechanisms before and after the peak sp3 content. Surface morphology of the ta-C films by concurrent Ar ion bombardment during deposition (ion bean assisted FCVA) was investigated. The Ar ions were produced by an ion beam source at different ion energy ranging from 60 to 500 eV, in order to study the Ar ion induced modification on the ta-C surface. Atomic force microscopy (AFM) shows that the roughness (RMS) increases from 0.17 to 0.43 nm. A rougher film surface has been attributed to higher Ar ion energy. X-ray photoelectron spectroscopy (XPS) shows that the sp2 bonded atoms increase after the Ar ion bombardment. Ta-C films were prepared under different substrate temperature ranged from 20 to 400 C during deposition. As shown in Raman spectroscopy, the sp2 bonded atoms increase with the increasing substrate temperature. The surface roughness also increases with the increasing substrate temperature.
FP-25 Effect of Processing Parameters on the Microstructure and Mechanical Properties of TiN Film on Stainless Steel by HCD Ion Plating
J.H. Huang, Y.P. Tsai (National Tsing Hua University, Taiwan, R.O.C.); G.-P. Yu (National Tsing-Hua University, Taiwan, R.O.C.)
The effect of operation parameters, bias and nitrogen partial pressure, on the microstructure and properties of titanium nitride (TiN) films was investigated. The films were grown using hollow cathode discharge ion plating (HCD-IP) on stainless steel. The structure was studied using X-ray diffraction(XRD)and Transmission electron microscopy (TEM). Cross-sectional TEM was used to study the effect of bias, nitrogen partial pressure and pre-deposited Ti-interlayer on the microsturcture of TiN films. Plane-view TEM was also empolyed to measure of the grain size of the films. The values of hardness of the films were measured using ultramicrohardness tester. The results show that the hardness of the films are from 1686 to 3120 kgf/mm2. The increase in bias enhanced (111) preferred orientation and columnar structure in TiN films. Introducing insufficient nitrogen pressure lowered the crystallinity of the films; however, the effect of applying bias was more significant than that of nitrogen partial pressure. The presence of (0001) in pre-deposited Ti interlayer enhances (111) preferred orientation owing to the similar atomic packing between (111) in TiN and (0001) in Ti. High hardness of TiN films shows with larger amount of Ti2N, smaller grain size and the order of these three factors to influence hardness of the films is presence of Ti2N, grain size, and preferred orientation.
FP-26 Simple Reflectometric Method for Measurement of Weak Absorptive Films
G.I. Surdutovich, R.Z. Vitlina, V. Baranauskas (Faculty of Electrical and Computer Engr., State University of Campinas, Brazil)
Reflectometric and ellipsometric methods for the measurement of the dielectric permittivity and thickness of a film deposited onto an isotropic substrate with a known dielectric permittivity encounter significant difficulties since the parameters of the film enter into the measured quantities in an involved form. Abelés has shown that the process of determination of the refractive index and thickness for a transparent film may be completely separated. When the relative reflectances of p - polarized light at the film-covered and uncovered surfaces are equal, the angle of incidence is equal to the Brewster angle of the ambient-film interface. On the other hand, for a film with non-uniform thickness (such as a wedge-shape film or a film during the growth process) under variation of the angle of incidence at this shadow Brewster angle ΘB1 (where the reflectance of the sample is not equal zero!) the interference fringes of all interference patterns corresponding to the different thicknesses of the film disappear. Moreover there is another shadow Brewster angle ΘB2 where the film-substrate reflection coefficient for p - polarized light turns to zero, the sample reflection ceases to depend on the film thickness and the interference fringes disappear. Therefore, the reflectances of films of different thickness (or from different places in the case of a wedge film) will have the same value athe the angles ΘB1 and ΘB2 so that the interference patterns should intersect each other at these points. For a weak absorptive film the position of the second ΘB2 angle does not change whereas the diminution of the reflectance at the first angle ΘB1 allows to determine the extinction coefficient of the film.
FP-27 The Novel Precleaning Treatment for Selective Tungsten Chemical Vapor Deposition
T.C. Chang (National Nano Device Laboratory, Taiwan, R.O.C.); P.T. Liu, Y.S. Mor, S.M. Sze (National Chiao Tung University, Taiwan, R.O.C.); M.S. Tsai (National Nano Device Laboratory, Taiwan, R.O.C.); Y.S. Lin, P. Liao (Merk-kanto Advanced Chemicals Ltd., Taiwan, R.O.C.); C.Y. Chang (National Chiao Tung University, Taiwan, R.O.C.)

In-situ plasma etching was conventionally used to remove the metal oxide from the aluminum surface prior to tungsten deposition. During the plasma etching, however, the outsputtered aluminum oxide and aluminum atoms can be redeposited on the sidewalls of the via and on the surface of dielectric layer, resulting in selectivity loss during the subsequent selective CVD-W.

In this work, we have investigated the effects of new clean solution, hydroxylamine sulfate ((NH2OH)2H2SO4) mixed with CuSO4 , on Al via. The results show cleaning effect of the new clean solution is better than that of conventional plasma etching. Low via resistance is obtained if the via is cleaned by this new cleaning solution. Hydroxylamine sulfate is a powerful reducing agent capable of removing aluminum oxide (Al2O3) and leave the clean Al surface on the bottom of via. Then, the Cu ion in this new solution will immediately react with clean Al and in-situ form a copper passivated layer on the bottom of via hole. The copper is more stable than aluminum under the environment, and hard to be oxidized. In addition, the thin copper layer is helpful for tungsten nuclearation in via during CVD-W deposition. Therefore, the new clean solution can provide excellent cleaning capability and reduced via resistance for aluminum via.

FP-28 Nanostructured Nitride-GaAs Thin Films
O. Alvarez-Fregoso, J. Juarez-Islas (Instituto de Investigacion en Materiales, UNAM, Mexico); O. Zelaya-Angel, J.G. Mendoza-Alvarez (Centro de Investigacion y de Estudios Avanzados del IPN, Mexico)
By using the r.f. sputtering technique, with a 100 watts of power, nitride-GaAs thin films were prepared on Corning 7059 glass substrates, in an Ar ambient. A 99.999% pure GaAs single-crystal of 2 in. of diameter and 0.8 mm thick was used as target. The substrate temperature (Ts) was varied in the range 40-400 C. X-ray diffraction and Raman spectroscopy indicate nanostructured thin films with a nanoparticle size of about 2.02.5 nm. The grain size does not change with Ts, however increasing Ts films become more uniform. Optical absorption and photoluminescence measurements show quantum confinement phenomenon in layers. Electron and wavelength dispersion spectroscopies were employed for composition analysis. Atomic force images shown the change of texture of the films with Ts. It was found that these films are sensitively more hard than GaAs layers as effect of nitrogen presence.
FP-29 Electrical Conduction Processes in Si3N4 Thin Films Prepared by RF Magnetron Sputtering Using N2 gas
S.A. Awan, R.D. Gould, S. Gravano (Keele University, United Kingdom)

Silicon nitride (Si3N4) is an important VLSI material owing to its high resistivity and breakdown strength and its use in surface encapsulation during ion implantation and annealing. Previous work has focused on films prepared by low-pressure and plasma-enhanced chemical vapour deposition (LPCVD and PECVD), but in the present work the DC electrical properties of films prepared by RF magnetron sputtering were investigated.

Al-Si3N4-Al sandwich structures were fabricated from a Si3N4 target at a discharge power of 100 W using N2 as the sputtering gas at a pressure of approximately 0.5 Pa. Capacitance was independent of voltage, indicating the absence of a Schottky barrier at the Al/Si3N4 interface. Measurements of the capacitance as a function of inverse dielectric thickness implied a relative permittivity value of 6.3. In contrast to results on films prepared using PECVD1 the samples did not exhibit Poole-Frenkel conductivity and tunnelling at higher voltages, but showed space-charge-limited conductivity (SCLC), dominated by an exponential distribution of trap levels, as indicated by a power-law dependence of current-density J on applied voltage V with an exponent value of typically 3.3. Measurements of J as a function of temperature confirmed the appearance of SCLC and indicated that the bulk trap density was of the order of 2 x 1024 m-3 as observed in LPCVD and PECVD films2, with the appearance of hopping conductivity at low temperatures.

1M. Tao, D. Park, S.N. Mohammad, D. Li, A.E. Botchkerav, and H. Morko, Philosophical Magazine B, 73, 723 (1996).

2Y.C. Park, W.B. Jackson, N.M. Johnson and S.B. Hagstrom, Journal of Applied Physics, 68, 5212 (1990).

FP-30 The Effect of CF4 Plasma Treatment for Low Dielectric Constant Poly(arylene ether)
T.C. Chang (National Nano Device Laboratory, Taiwan, R.O.C.); M.F. Chou (National Chiao Tung University, Taiwan, R.O.C.); J.Y. Lin, T.W. Hsiao (National Yun-Lin University of Science and Technology, Taiwan, R.O.C.); C.Y. Chang (National Chiao Tung University, Taiwan, R.O.C.); M.S.K. Chen (Air Products and Chemicals, Inc.); A. Tuan (Air Products Asia, Inc., Taiwan, R.O.C.); S. Chou (San Fu Chemical Co., Ltd., Taiwan, R.O.C.)

As the device dimensions continue to shrink to 0.25um and below, the interconnect delay becomes a limiting device factor and increases device speed. Low dielectric constant interlayer dielectric (ILD) material such as poly(arylene ether)(PAE) which can offer lower dielectric constant than conventional silicon dioxide insulator are required in the ULSI technology.

In this work, we study the effect of CF4 plasma treatment of poly(arylene ether) film characteristics. The dielectric constant of poly(arylene ether) film is found to be further reduced with the application of CF4 plasma treatment. Also, the characteristics of Cu-gate metal- insulator-semiconductor capacitors with poly(arylene ether) dielectrics performed by CF4 plasma treatment are studied.

FP-31 Fabrication and Characterization of Laser Ablated (Pb,La)TiO3 Thin Films
S.Y. Lee, D.S. Eun (Yonsei University, Korea); K.S. Kim (Louisiana State University); S.M. Kim (Yonsei University, Korea)
Ferroelectric thin film capacitors with high dielectric constant are important for the application of memory devices. In this work, thin films of PLT(28) (Pb0.72La0.28Ti0.93O3) were fabricated on Pt/Ti/SiO2/Si substrates in situ by a pulsed laser deposition as varying the deposition temperature and the laser energy density, systematically. The crystal structure and the surface morphology were observed by glancing angle XRD and SEM. There was a threshold temperature between 550°C and 600°C. The surface morphology and the crystal structure were changed abruptly in this temperature range. It was examined that TiO2 formed at 600°C. Laser energy density affected the surface morphology and the dielectric constant of PLT thin films. However the crystal structure of PLT thin film and the formation of TiO2 were not affected significantly by laser energy density. So the substrate temperatures which induce the TiO2 formation was mainly the cause of abruptly change of the crystal structure. The dielectric constant of PLT thin films was measured to be increased mainly due to the different grain structure.
FP-32 Characterization of YBCO Superconducting Films Fabricated by Pulsed Laser Deposition
S.M. Kim, J.H. Park, S.Y. Lee (Yonsei University, Korea)
For the application of Microwave devices, YBa2Cu3O@sub 7-Δ(YBCO) thick films including different orientations were investigated. Pulsed laser deposition (PLD) has been used to fabricate superconducting YBCO thick films on cleaved and polished (100) MgO substrates (20mm x 10mm). A Nd:YAG laser(Λ=355nm, 1.3 J/cm2) was used to fabricate YBCO thick film. The laser beam was focused onto a YBCO target rotating linearly to avoid deep craters that may eject enormous YBCO particles which cause rough surface and non-stoichiometric structure and that may distort direction of plume. The YBa2Cu3O6 +Δ (tetragonal) film was grown at 750°C in the oxygen partial pressure of 200 mTorr and annealed the film with oxygen partial pressure of 500 Torr at 550 °C for 30 minutes then cooled down to room temperature. R-T measurement and X-ray diffraction (XRD) were used to reveal the properties of superconducting YBCO films. The transition temperatures of these films have shown 86 - 89 K. Two types of X-ray diffractions of a standard θ -2 theta technique and a glancing angle technique were used to characterize the laser ablated thick films. A glancing angle XRD was adopted to examine the orientation change step by step by varing incident X-ray angles in a laser ablated thick film depending on the film thickness. We have verified that the mixture of a-axis and c-axis orientation was strongly dominated near at the interface of the film and the substrate in a laser ablated thick film. Also, the variation of the surface resistance of the films with different film thicknesses was observed.
FP-33 Microstructure, Residual Stress and Corrosion Resistance of PVD - CrN Coatings
L. Cunha, M. Andritschky (Universidade do Minho, Portugal); K. Pishow (Surfcoat, Finland)
CrN based hard materials were deposited by reactive magnetron sputtering onto stainless steel substrates in the form of homogenous and of multilayered coatings. The multilayered coatings consisted in CrN - Ti layers with a periodicity ranging from 4 to 50 nm. The microstructure, morphology and mechanical properties of these coatings was studied by X-Ray Diffraction (XRD), Microscopy (SEM) and scratch test, microhardness testing and controlled flexure. The coatings are, depending on deposition conditions, strongly textured. Optical image analysis reveals the density and size distribution of surface defects. These defects can be identified as being pinholes and grains growing with a different growth direction. The coating residual stress depends, of course, on the deposition condition and is closely related not only to the mechanical properties but as well to the density of defects as indicated by the optical analysis. At a residual stress level of about 1 - 3 GPa, most of the other mechanical properties were reasonable although some properties like hardness were highest for highly stressed coatings. These relations will be shown in corresponding maps.
FP-34 Process-Property Relationships in Reactive Sputtering of Aluminum Oxide
S.R. Kirkpatrick, D.M. Mihut (University of Nebraska); J. Perales (San Angelo State University); D. Ames, S.L. Rohde (University of Nebraska)
The current research was under taken to study the effects of various process control parameters on the properties of reactively sputtered Aluminum Oxide, in particular comparing conventional DC and pulsed DC excitation sources. The available process window for producing Al2O3 thin films was evaluated using several different control techniques, such as constant current, voltage, and power. The reactively sputtered materials were produced in a mixed argon/oxygen environment using both conventional and pulsed DC power supplies. The resulting thin films were characterized in terms of their structural, chemical, mechanical, optical, and electrical properties. X-ray diffraction indicated the films to be nearly amorphous. Dektak profilometry was used to measure thickness, and the results confirmed using ex-situ ellipsometric analysis. Ellipsometry also provided a means of assessing the optical constants of the deposited films. For transparent films, the index of refraction was found to be near 1.66, just slightly lower than published values for bulk sapphire. The films were deposited at a variety of temperatures ranging from room temperature up to 650 °C. The elemental chemical composition of the films was determined relative to a bulk crystalline Al2O3 standard using SEM/EDAX, and generally did not contain significant amounts of entrapped Ar, even when deposited at ambient temperature. The metal-oxide sputtering rate for various configurations were compared along with the current-voltage characteristics at several oxygen flow rates. Oxygen and Argon partial pressures were monitored during film growth using a differentially pumped residual gas analyzer. It was determined that the pulsed supply operating in constant voltage mode provided a wider range of oxygen partial pressures over which the discharge could operate and produced near-stoichiometric thin films at rates as much as an order of magnitude higher than the conventional DC source.
FP-35 Effect of Thermal History on the Microhardness of Electroless Ni-P
M.H. Staia, O. Ramirez, E.S. Puchi, G. Castro (Central University of Venezuela); D.B. Lewis (Sheffield Hallam University, United Kingdom)
Ni-P electroless deposition was performed on a plain carbon steel samples. Coatings with an average of 8.43%P were obtained and this value was constant throughout the coating cross section. The coated samples have been heat treated at 320°, 400°, 500° and 600°C for 30,60,90,120,150 and 180 minutes in air and the structural changes induced by heating were related to microhardness. Vicker´s microhardness tests were performed on the heat treated samples by varying the load between 25- 200gf. X-ray diffraction studies and scanning electron microscopy techniques were used to characterize the samples. The maximum hardness was achieved at 400° for 1 hour. A further increase in temperature added to an excessive heating time produced a considerable decrease in hardness.The measured hardness values are a combination between the substrate and the coating. Several models proposed in the literature are tested in order to describe the experimental data.
FP-36 Fatigue Properties of an AISI 1045 Steel Coated with an Electroless Ni-P Deposit
G. Contreras, C. Fajardo, A. Pertuz, E.S. Puchi (Central University of Venezuela); H.E. Hintermann (University of Neuchatel, Switzerland)
The influence of an industrial electroless Ni-P (EN) deposit on the fatigue properties of an AISI 1045 steel has been investigated. Standard tensile and fatigue samples of the substrate material were industrially coated with an EN deposit of approximately 12% (wt) P and 25 mm in thickness. The coated samples were further post-heat treated at 400°C for 1 hour. The samples were subsequently tested under rotating bending conditions in order to determine both the fatigue strength and fatigue limit of the composite material. The fatigue fracture surfaces were analyzed by means of scanning electron microscopy (SEM) and EDS techniques in order to determine the crack initiation sites and to study the cracks propagation throughout the transverse section of the samples. It has been determined that the application of such a coating to the steel substrate gives rise to a significant reduction of its fatigue life. From the microscopic point of view it has been observed that the deposit applied remains relatively well adhered to the substrate during fatigue testing and that interfacial cracks are developed only when the stress applied reaches a level of approximately 350 MPa.
FP-37 Effect of Electroless Ni-P Deposits on the Fatigue Properties of an AISI 4340 Steel
Y. Garcés, H. Sánchez, A. Pertuz, E.S. Puchi (Central University of Venezuela); H.E. Hintermann (University of Neuchâtel, Switzerland)
The present investigation has been conducted in order to study the fatigue properties of an AISI 4340 in the quenched and tempered condition, with and without the application of an electroless Ni-P (EN) deposit of approximately 12-14% (wt) P. Such a coating was deposited at industrial scale and achieved about 24 mm in thickness. The fatigue strength of the material has been evaluated under rotating bending conditions at stress levels of the order of 80-90% of the yield stress. The fatigue strength of the material is reported in terms of the Basquin equation. Several fatigue fracture surfaces were analyzed by means of SEM techniques in order to determine the role of the EN deposit on the nucleation of fatigue cracks and to monitor the behavior of the deposit in relation to its adhesion to the substrate. It has been determined that the application of this EN deposit gives rise to a reduction of approximately 6-11% in the fatigue strength of the substrate. Also, the electron microscopic examination of the fractured surfaces indicated that the interface between substrate and coating resulted in severe cracking after testing.
FP-38 Organosilicon Thin Films Formed by ArF Laser Induced Photolysis of a Series of Methyldisiloxanes in the Gas Phase
L. Khachatryan (Institute of Chemical Process Fundamentals AS of the Czech Republic); J. Pola (Institute of Chemical Process Fundamentals AS of the Czech Republic, Chech Republic)

There is a growing interest in coating solid surfaces with thin oxocarbosilane-based polymeric films by reactions resulting from ultraviolet radiation in an atmosphere Si, O, H containing a low molecular weight organic compounds. Polymers produced by influence of UV irradiation from a variety of organosilicon monomers have been studied due to the general interest in conventional organosilicon polymers and interest in organosilicon polymer films for silicon-based microelectronics applications (as insulators, composite thin films, wave guides, and separation membranes). Organosilicon monomers are volatile, easy to use, non-toxic, relatively inexpensive, and available from commercial sources.

The chemical structure of films formed by photolyses of disiloxanes depends on the fragmentation of the monomer. This fragmentation depends not only on the chemical composition and structure of the monomer, but also on the polymer deposition process conditions, such as pressure, presence of the buffer gas, current fluence of UV irradiation, etc. By varying these parameters, materials with different chemical compositions and structures can be obtained from the same monomer.

The present report focuses on the relationships between the UV polymerisation conditions and the chemical structure of thin films of ArF laser induced-polymerised series of methyldisiloxanes [(CH3)nH3-nSi]2O with n = 1-3 in the gas phase. The peculiarities of the solid deposits will be presented by means of UV, FTIR, XPS and SEM techniques.

The photolysis mechanism also will be interpreted in terms of and the importance of contributing steps will inferred from the chemical structure of thin films and distribution of the photolytic products.

FP-39 In-Situ X-ray Diffraction Studies of the Structure of Nitride Thin Films Under Various Temperatures
I. Efeoglu (Ataturk University, Turkey); R.D. Arnell (University of Salford, England); A. Celik (Ataturk University, Turkey)
The extreme hardness, wear resistance, stability at high temperature of transition metal nitrides make them popular. TiN is the most studied nitride coatings. Many alloy nitride coatings are interesting candidate for many high working temperature applications. From this point of view, nitride films were deposited by closed-field unbalanced magnetron sputtering (CFUBMS) system onto M2 steel. A high temperature attachment for in situ testing of the microstructurel changes of nitride thin films has been used. The structural changes into TiN, TiAlN and AlN have been investigated as function of temperature using X-Ray diffraction.
FP-40 Surface Morphology of SiO2 Thin Films: An Atomic Force Microscopy Study
B. Li, T. Fujimoto, I. Kojima (National Institute of Materials and Chemical Research, Japan)

The surface kinetics during thin film growth has spurred great interest in the last dacade because of scientific and technological reasons. However, even the growth process of amorphous SiO2 thin films, which are used extensively in the microelectronic industry as well as in optical coating applications, is still not well understood.

In the present work, the surface morphology of the amorphous SiO2 thin films, formed by a newly developed ultrahigh vacuum sputering system which deposits thin films with excellent uniformity, is studied by atomic force microscopy. The results show that the hill-like surface of the initial growth is isotropic, homogenous, and smooth. With the increase of growth time, the size of the hill-like structure gradually increases in both the vertical and the lateral directions. It is remarkable that there is a critical thickness, about 100 nm in this case. After the film grows to the critical thickness, further growth leads to a sharp increase in the lateral size, especially the height of the hill-like structures, indicating a roughening transition. The detailed results will be presented.

FP-41 Investigation of Oxidation Process on Plasma Treated Thin Al-films by GIXR and GIXRD
A. Quade, H. Wulff (University of Greifswald, Germany)
The sensitivity of GIXR for amorphous as well as crystalline layers and for layer formations in the nm-range combined with information about structural states by GIXRD enable these methods to study interfaces and surface layers. Thin aluminium layers (30-50 nm) on Si, deposited by thermal evaporation, were plasma oxidized in a 2.45 GHz microwave discharge (SLAN) with three different plasma types (Ar, Ar/O2, O2) at low temperatures. In this way a thin oxide layer additional to the native alumina on the top was formed. The properties of the oxide layers like thickness, roughness and density were studied by GIXR, the chemical composition was investigated by GIXRD and XPS. In addition AFM was used for surface topography observations. All these methods were applied before and after the plasma treatment. The properties of the thin Al-oxide layers were found to depend on the type of plasma but in all three cases plasma leads to a smoothing of the films as well as an increasing of the upper alumina layer.
FP-42 Microstructural Study of Transparent Metal Oxide Gas Barrier Films
B.M. Henry, F. Dinelli, C.R.M. Grovenor, K.-Y. Zhao, O.V. Kolosov, G.A.D. Briggs (University of Oxford, United Kingdom); Y. Tsukahara (Toppan Printing Co., Ltd., JAPAN); T. Miyamoto (Toppan Printing Company, Japan)
In polymer web coating, aluminium has played a major role as a coating material. In recent times, however there has been a concerted effort to replace metallic aluminium with non-stoichiometric metal oxide coatings on polyethylene terephthalate (PET) for gas barrier applications. The oxide films offer many advantages over traditional foil-laminated packaging including transparency, microwaveability and reduced health concerns. It is apparent, however, that the gas barrier properties offered by these oxide films do not match those displayed by foils. Two factors can strongly influence the gas behaviour of a film, namely chemistry and microstructure. In this study a comparison on laboratory-scale of the nucleation, early stage growth and the final microstructure is made between different types of barrier coatings deposited by magnetron sputtering on PET. A range of analytical techniques has been used including atomic force microscopy, transmission electron microscopy and gas permeation measurements to characterise the film. The structural observations have been correlated with the measurements of the oxygen and water permeation of the composite.
FP-43 Quasicrystals in In-situ Heating Thin Films of the Al-Co-Cr-Fe Alloy
A. Pita, L.P. Valles, J. Reyes-Gasga (Instituto de Fisica, UNAM, Mexico)
Phase transitions in amorphous thin films of the Al-Co-Cr-Fe alloy have been studied in the case of heat-treatment in-situ in TEM. Heating the film at 600 °C, during two hours, grains with quasicrystalline decagonal phase as structure were observed. The structural analysis was done by electron diffraction and x-ray microanalysis. In particular EDS microanalysis shows a big concentration of Al and low concentration of Cr and Fe in the quasicrystalline grains. Co peaks were obtained in grains with crystalline phase only.
Time Period TuP Sessions | Topic F Sessions | Time Periods | Topics | ICMCTF1999 Schedule