AVS 70 Session PS-ThP: Plasma Science and Technology Poster Session

Thursday, November 7, 2024 4:30 PM in Room Central Exhibit Hall
Thursday Evening

Session Abstract Book
(378KB, Jul 23, 2024)
Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule

PS-ThP-1 Atmospheric Pressure Plasma Pencil as a Sterilization Source
Abdul Majid (University of Gujrat); N.U. Rehman (COMSATS)

Plasma based sterilization, at atmospheric pressure in Pencil configuration, is a newly developing technique which have several advantages over the conventional techniques. It is environment friendly technique which has low initial and operational costs and requires low temperature processing. UV radiations, heat and reactive species densities are the major players of plasma based sterilization [1].

In the present study He-O2/Ar mixture plasma was generated in locally made atmospheric pressure plasma pencil. Optical Emission Spectroscopy (OES) is employed to explore the optimum conditions. Explicitly, rotational temperature, integrated/normalized intensity of UVa, UVb, and UVc radiations, [O] atomic density and dissociation fraction are measured as a function of discharge parameters (RF power, different gases concentration and flow rate of gases). The rotational temperature ‘TR’ is measured via fitting simulated spectra on the experimental spectra of selected rotational levels of 2nd positive system of nitrogen N2 (C3 Πu'=> B3Πgν"). The results show that the average gas temperature increases (320 - 408 K) with increase in RF power and O2 concentration in the mixture. Similarly, the normalized UV radiation intensity determined by integrating the regions of UVa, UVb, and UVc (240 - 400 nm). The results show that UV radiation intensity has optimum value at 0.3% oxygen in the mixture. The [O] atomic density and dissociation fraction are evaluated by using ‘advanced actinometry technique’ in which argon is used as actinometer. Ar-I line (2P1- 1S2) at 750 nm and O-I line (3P – 3S) at 844 nm are used to determine the atomic oxygen density [O] and dissociation fraction [O]/[O2]. The results show that both increases with RF power and O2 concentration in the mixture up to 0.7% in the mixture and beyond 0.7% both starts to decrease by increasing RF power. As UV radiations is the main agent to kill or remove micro-organisms from different surfaces like food and biological instruments [2] therefore 0.3% O2, 110W RF power and 500 SCCM flow rate is the proposed optimum condition for plasma based sterilization with atmospheric pressure plasma Pencil.

References:

[1] M. Laroussi, Tamer Akin,Plasma Process. Polym. Vol. 4, 777–788 (2007)

[2] Han S. Uhm, Eun H. Choi, Guang S. Cho, Daniel H. Hwang, Current applied physics Vol. 13 S30- S35 (2013).

PS-ThP-2 Effect of In-Situ Heat Treatments on PVDF Film Characteristics Deposited by Using Atmospheric Pressure Plasma Synthesis
Heung-Sik Tae (School of Electronic and Electrical Engineering, College of IT Engineering, Kyungpook National University); Eun Young Jung (The Institute of Electronic Technology, College of IT Engineering, Kyungpook National University); Habeeb Olaitan Suleiman (School of Electronic and Electrical Engineering,College of IT Engineering, Kyungpook National University); Choon-Sang Park (Electrical Engineering, Milligan University, USA)
In recent, the development trends of energy storage devices, will be stretchable, bendable, and portable device with light weight in the field of flexible devices, including nanogenerator and sensors. Thus, piezoelectric polymers have received attractive attention for their application [1]. Among of these piezoelectric polymers, polyvinylidene fluoride (PVDF) and its copolymers have been mostly studied due to specific properties. The PVDF thin film is usually used by solution-based synthesis, such as a electrospinning and spin casting [2]. However, these methods are unsuitable for applying the field of flexible devices due to their problems, such as complex, dangerous, and heating procedures according to the chemicals. To solve these problems, it is necessary to develop new processes based on low-pressure and atmospheric pressure plasma (APP) processes. Among these plasma processes, the low-pressure plasma have the biggest disadvantages in industrial applications, including the large equipment, high cost, and difficulty in transmitting heat on film owing to the use of a vacuum system. Thus, the APP process appears to be a promising method to deposit the polymer film on the point of view a simple, low cost, and heating-free process. For this reason, many studies has been currently investigated on the APP process for polymer film deposition [3,4]. Accordingly, to enhance the structural phase of PVDF thin film, this study investigated the effects of in-situ heat treatment on PVDF thin film characteristics during the APP process in terms of different heating temperatures (room temperature, 100, 150, and 200°C) using scanning electron spectroscopy (SEM), Fourier transforms-infrared spectroscopy (FT-IR), X-ray diffraction, and impedance analyzers. The PVDF thin film was deposited by APP process during 1 h using a mixed polymer solution composing of PVDF polymer nano powder and dimethylformamide (DMF) liquid solution. In addition, the in-situ heat treatment was performed through substrate heating on a hot plate while depositing the PVDF thin film by the plasma. Based on the FT-IR and SEM results, after PVDF thin film deposition at 150°Cduring 1 h, PVDF thin film was smoothly formed with PVDF nanoparticles and the DMF component in the form of bubbles was largely reduced. Moreover, in FT-IR spectra, two phases (α and β phases) were observed in in-situ heated PVDF film, which the peaks at 975 and 1402 cm-1 represent α-phase and the peak at 1072 cm-1 indicates β-phase. The detailed experimental results of PVDF thin films produced by in-situ heat treatment are currently being studied and will be described in detail. View Supplemental Document (pdf)
PS-ThP-3 Uniformity Monitoring of Photoresist Etching using Multi-Channel Endpoint Detection in Inductively Coupled Plasma
Sanghee Han (Sungkyunkwan University (SKKU)); Jaehyun Kim (Sungkyunkwan University); Heeyeop Chae (Sungkyunkwan University (SKKU))

In this work, the uniformity of photoresist (PR) etch rates was monitored with multi-channel optical emission spectroscopy (OES). Etch rate uniformities were controlled from center-high etch rate to edge-high etch rate by varying gas flow rates, source power, bias power, and CF4/O2/Ar plasma pressure in a 300mm cup-type inductively coupled plasma (ICP) descum chamber. Eight fiber optics in an 8-way jig were mounted on a rectangular viewport of the chamber and the eight optical fibers were connected to a switching module that transfers signals to a single spectrometer. We can exclude variations of signals originating from different spectrometers with this configuration. The spatially resolved endpoints were determined with CO (428-431nm) band in all PR etch processes, while other relatively strong peaks such as O (777nm), F (703nm), and CO (481-483nm) show no difference in spatial variation in PR etch rates. The CO (428-431nm) band corresponds to the de-excitation of CO from the state d3Δ with a vibrational number of 14 or 16 to the metastable state a3π. The results indicate that to monitor etch uniformity effectively, it is necessary to observe emissions from select excited states of etch products, which only transition to a metastable state of those products. The etch profiles across the wafer were determined based on the etch endpoints from 8-channel optical emission spectroscopy (OES) under various conditions, and these results aligned with the trends observed in thickness measurements conducted using a reflectometer.

View Supplemental Document (pdf)
PS-ThP-4 Effect of Mask Pattern on the Tribological Properties of Pattern Plasma Nitrided AISI H13 Tool Steel
Junji Miyamoto, Ryo Tsuboi (Daido University)

Plasma nitriding is widely used for mechanical parts, dies and tools as one of the surface modification processes. Plasma nitrided material is improved the surface hardness, wear resistance and fatigue strength etc. while maintaining the core properties. An advantage of plasma nitriding over conventional nitriding is that the former is a clean, nontoxic process that involves a shorter nitriding time than gas nitriding. However, plasma nitrided sample have not be attained low friction coefficient same as samples nitrided by another nitriding method. A mechanical part that contacts two surface requires a reduction in the friction coefficient. Friction accounts for about 23% of all energy consumption worldwide, among which 20% is needed to reduce friction and 3% is required to remanufacture replacements for worn-out parts and equipment. Consequently, studying the characteristics of contact surfaces that reduce friction not only results in energy savings, but also extends the lifetime and dependability of the component. Surface texture technology is crucial for reducing the friction coefficient of contact surface and enhancing friction performance.

There is technology of surface texturing that create a series of regular microstructures on the surface of a sample using process techniques. The textures with suitable size can act as micro-bearing to increase the dynamic pressure between friction pairs, store lubricants, and capture debris produced during the friction process.

In this study, plasma nitriding was performed partially by Electron-Beam Excited Plasma using mask with hole to create surface texturing on the surface of tool steel. The effects of partially plasma nitriding were investigated, and the tribological properties of surface on the formed partially nitrided layer were clarified.

The results of our experiments show that the hardness of the sample was increased only in the open areas that were not masked. The measured hardness of the nitrided layer of all tool steel work pieces were increased by more than two times that of the core material. Regular micro asperities were formed on the nitrided sample surface.

PS-ThP-5 Effect of Methane Gas on Mechanical Properties of AISI H13 Tool Steel in Open-Air Type Super-Rapid Atmospheric-Pressure Plasma Jet Nitrocarburizing Process
Naoyuki Takahashi, Junji Miyamoto (Daido university)

Gas nitrocarburizing is widely used for surface modification of mechanical parts. Nitrocarburized steel is effective improved wear resistance, fatigue strength, seizure resistance, and corrosion resistance. An advantage of gas nitrocarburizing over surface heat treatment is that the treatment temperature is lower than that of carburizing or induction hardening treatments. However, the gas nitrocarburizing treatment method has shortcomings, such as the long treatment time and the use of ammonia gas. Therefore, we are developing an super-rapid atmospheric-pressure plasma jet nitrocarburizing treatment technology with short treatment time and nontoxic process. In our previous studies, the mechanical properties of nitrocarburized tool steel by atmospheric-pressure plasma jet using argon, nitrogen, and methane in open-air atmosphere were clarified.

In this study, the effects of methane gas on mechanical properties of AISI H13 tool steel were clarified. Plasma nitrocarburizing of AISI H13 tool steel was performed by open-air type atmospheric-pressure plasma jet under methane gas ranging from 0.020 to 0.035 slm applied.

The results show that the surface hardness of the nitrocarburized samples were increased by increasing the amount of methane gas.

PS-ThP-6 High-Voltage Custom Waveform Bias for Ion Energy Distribution Control
James Prager, Timothy Ziemba, Paul Melnik, Josh Perry, Chris Bowman (EHT Semi)

As the market demands solid-state non-volatile memory storage, plasma etching high-aspect-ratio (HAR) features is becoming increasingly important. To minimize feature defects like bowing and twisting defects, precision control of the ion energy distribution (IED) is required. Eagle Harbor Technologies (EHT), Inc. has developed a unipolar wafer bias power system that can operate up to 15 kV at 400 kHz. In this work, EHT is using a retarding field energy analyzer (RFEA) to investigate IEDs with these high-voltage custom waveforms. A 60 MHz capacitively coupled plasma source with a pedestal that can be biased is being used to experimentally test IED control using these high voltage custom waveforms. EHT will present RFEA measurements of the IED with energies above 2.5 kV. Future plans will also be discussed.

PS-ThP-7 High-Voltage Bipolar Tailored Waveforms with Droop Compensation for Ion Energy Control
Timothy Ziemba, James Prager, Paul Melnik, Josh Perry, Chris Bowman (EHT Semi)

In plasma processing applications, like etching, the ion energy and flux can be independently controlled with the use of multiple power systems. Typically, a high-frequency RF generator is used for plasma production, and a low-frequency RF generator is used to bias the wafer. Using RF for the wafer bias power system produces a very broad ion energy distribution (IED) at the wafer surface. Eagle Harbor Technologies (EHT), Inc. has developed a high-voltage bipolar waveform generator that can be used to provide precision control of the ion energy distribution at the wafer surface. This power system is being tested in an experimental plasma chamber with a 60 MHz capacitively coupled plasma source and a pedestal that can be biased. EHT will present IED measurements collected using a retarding field energy analyzer (RFEA) with energies up to 2.5 kV. Additionally, we will show IED calculations from bias waveforms for ions over 5 kV when the voltage exceeds the limits of the RFEA.

PS-ThP-8 Electron-Assisted Etching of Poly-Si and SiO2 in Ans Inductively Coupled CF4 Plasma
Jiwon Jung, Chin-Wook Chung (hanyang university)
Low-energy electron beam is generated to assist the Poly-Si and SiO2 etching via grid system in an inductively coupled CF4 plasma. The etch rate of Poly-Si and SiO2 increases with electron beam energy, which is regarded that the electron assist the surface etching process. To verify this, Poly-Si and SiO2 etching is performed in several plasma conditions, which leads different etch rate depending on the presence or absence of radicals and electron beams. Poly-Si and SiO2 are not etched without radicals of CF4 plasma, while they are etched with radicals. When the electron beam and radicals of CF4 plasma exist simultaneously, etch rate increases dramatically than the case of CF4 plasma without electron beam. This is because electrons assist the etching process. Furthermore, F radical density variation as increasing electron beam energy is measured by OES measurement to demonstrate that the reason of etch rate increase is not F radical density variation. The surface roughness is measured after low-energy electron beam etching and compared with that after the ion-assisted etching.
PS-ThP-9 Laser-Induced Fluorescence Transitions Relevant for the Microelectronics Industry
V S Santosh K Kondeti, Shurik Yatom, Ivan Romadanov, Yevgeny Raitses (Princeton Plasma Physics Laboratory); Leonid Dorf, Andrei Khomenko (Applied Materials Inc.)

A diverse combination of feed gases is utilized in creating low-temperature plasmas for applications in the microelectronics industry. These plasmas generate a wide combination of reactive and non-reactive species, with a spatial and temporal fluctuation in the density, the temperature, and the energy. Precise understanding of these parameters and their variations is crucial the advancement of microelectronics through validated modeling and designing relevant devices. Laser-induced fluorescence (LIF) offers spatially and temporally resolved information of the plasma-produced radicals, ions, and metastables. However, implementing this diagnostic tool requires the knowledge of the optical transitions, including the excitation and the fluorescence wavelengths. This information is often scattered across extensive literature from widely different fields. This study analyzes and compiles the available transitions for laser-induced fluorescence of more than 160 chemical species pertinent to the microelectronics industry. The plasma generated by the feed gas mixture and its interaction with the chamber walls and materials generates a complex combination of reactive species. Our analysis will show the overlapping LIF transitions that need to be considered when selecting and implementing LIF in plasmas with a complex combination of feed gases, such as those employed in the processing of microelectronics.

Acknowledgement: This work was supported by the U.S. Department of Energy through contract DE-AC02-09CH11466.

PS-ThP-10 A Dry Process of Iodine Chemistry for Euv Sensitizer/Underlayer
Phong Nguyen, Nathan Stafford (Air Liquide)

As extreme ultraviolet lithography progresses towards achieving finer details, challenges like stochastics and sensitivity emerge for polymeric photoresists. To meet the demands of high-volume manufacturing and overcome the limitations of EUV source power, enhancing resist sensitivity is crucial for achieving high-resolution patterning while ensuring pattern fidelity and uniformity. One promising approach involves incorporating atoms with high photoemission cross-sections, such as iodine, into the resist composition to significantly enhance material absorbance. Exploring the incorporation of iodine into carbon-containing layers presents an intriguing avenue for advancement.

In recent years, Air Liquide has spearheaded the development of a series of low global warming potential chemistries, facilitating the formation of atomically smooth iodine-containing layers with adjustable thickness and iodine concentration through a low-pressure plasma process. These film properties are studied using scanning electron microscopy (SEM), atomic force microscopy (AFM), and X-ray photoelectron spectroscopy (XPS).


PS-ThP-11 2D Particle-in-Cell Modeling of an Inductively Coupled Plasma for the Semiconductor Industry
Willca Villafana (Princeton University Plasma Physics Lab); Dmytro Sydorenko (University of Alberta Edmonton); Igor Kaganovich (Princeton University Plasma Physics Lab)

The state-of-the-art EDIPIC-2D code [1] now includes self-induced electromagnetic effects using the Darwin Direct Implicit algorithm [2]. The cell size can be greater than the Debye length and the time step may exceed the period of Langmuir oscillations, which are acute constraints to the standard explicit Particle-In-Cell code [3]. Therefore, the code can now model Inductively Coupled Plasma (ICP) Reactors of a realistic size using a modest amount of computational resources. In this work, we showcase the example of an ICP reactor operating in the sub-mTorr range, that could be typically used for etching in the semiconductor industry. We emphasize our analysis of key parameters essential for atomic precision processing such as the ion energy flux and the ion angle distribution function as measured at the wafer.

[1] Sydorenko D., "Particle-in-cell simulations of electron dynamics in low pressure discharges with magnetic fields," Ph.D. dissertation, University of Saskatchewan, Canada, 2006.

[2] Gibbons M. R. and D. W. Hewett, “The Darwin Direct Implicit Particle-in-Cell (DADIPIC) Method for Simulation of Low Frequency Plasma Phenomena”, J. Comput. Phys. 120, 231 (1995).

[3] C.K. Birdsall, “Particle-in-cell charged-particle simulations, plus Monte Carlo collisions with neutral atoms, PIC-MCC,” IEEE Trans. Plasma Sci. 19(2), 65–85 (1991).

PS-ThP-12 On the Compensation Method of the Attenuation of the Light Intensities in Fluorocarbon Plasmas
Hyeon ho Nahm, Jeonghyun Lee (hanyang University)
We investigated the attenuation of light intensity due to fluorocarbon film on the view port (quartz window) in a C4F8 plasma reactor. For the compensation of the light intensity, fluorocarbon film thickness is measured by using an electrical method. The electrical method is based on the difference of the film impedance when triple AC voltages are applied to the film. Transmittivity of the fluorocarbon film at various conditions are measured. The transmittivity varies depending on wavelengths and the thickness of the film. For accurate plasma parameter measurement by the line ratio technique, it is crucial to account for the attenuation in light intensity caused by the films during plasma processes. Based on the transmittivity data and the real time thickness of the film on the view port, we successfully compensate the attenuation of light intensity. As a results, plasma parameters from the compensated line ratios are consistent with other measurement those from Langmuir probes.
PS-ThP-13 GaN and NiO Metal-Semiconductor-Metal Photodetectors Fabricated via Hollow-Cathode Plasma-Assisted Atomic Layer Deposition
Steven Allaby, Habeeb Mousa, Matthew Silverman, Heba Saleh, Su-Hyeon Choe, Louis V. Antoine, Jacques Goosen, Fatih Bayansal, Iram Sifat, Alexander Agrios, Necmi Biyikli (University of Connecticut)

Metal-semiconductor-metal (MSM) photodetectors (PDs) have gained significant interest in optoelectronic applications in industrial, environmental, and even biological fields. MSM PDs benefit from simplicity in design, large active area, fast response, and low dark current. PDs fabricated from materials such as GaN, NiO, AlGaN, ZnO, Nb2O5, and TiO2 have attracted attention from researchers owing to their wide energy band gaps. Among these materials, both GaN and NiO semiconductors stand out as promising candidates for ultraviolet/visible photonic devices due to their wide bandgap energies.

Herein, we report on MSM PDs based on GaN and NiO films which were deposited on sapphire and glass substrates respectively, using hollow-cathode plasma-assisted atomic layer deposition (HCP-ALD). GaN was deposited at 200℃ using triethylgallium (TEG) as the metalorganic precursor and N2/H2 plasma as the co-reactant. NiO was deposited at 100℃ using nickelocene (NiCp2) as the metalorganic precursor and O2 plasma as the co-reactant. The rf-plasma power was maintained at 100W for both films. Aluminum interdigitated electrodes, with widths and spacing of 500 microns, were deposited onto the films using e-beam evaporation.

The deposited films were characterized for their optical and structural properties. Both films deposited showed strong absorption in the UV region (l=190-380 nm) yet demonstrated lower absorption in the visible and near-IR regions. As a result of the analysis using the Tauc relation, the band gaps of GaN and NiO films were found to be 3.32 and 2.95 eV, respectively. XRD analysis revealed a preferred orientation along (002) direction for both films. I-V characteristics of the fabricated MSM PDs were conducted under dark and light conditions. An incandescent light source at a distance of ~10 cm from the sample was applied to generate the photocurrent. Bias-dependent photocurrent signals were observed for both GaN and NiO MSM PD samples. On the other hand, NiO photoresponse showed a significantly slower temporal response indicating a notable persistent photoconductivity.

View Supplemental Document (pdf)
PS-ThP-14 Dual Capability PEALD/PAALE Reactor Design
Birol Kuyel, Alex Alphonse, Joe Alex (NANO-MASTER, Inc.)

Nano-Master has developed a hybrid PECVD/PEALD tool capable of depositing ALD and PECVD layers on silicon wafers within the same chamber with no hardware modifications. In this paper, we will propose a novel reactor design capable of performing PEALD and PAALE in the same chamber without breaking the vacuum. Removing native oxides from a Si wafer using other means, such as reactive ion etching or wet etching, results in substrate damage and defects to the wafer. Here, we will discuss the means of removing the native oxide from the Si wafer surface using plasma-assisted atomic layer etching (PAALE) before depositing AlN in the same chamber and without or low substrate damage. The PEALE of native oxide on the silicon wafer will occur in the same PEALD chamber, which prevents re-oxidation between the steps. The objective of the study is to develop a tool that can perform precise atomic layer etching and damage-free atomic layer deposition of high quality AlN in the same chamber.

PS-ThP-15 Radio Frequency Plasma Reactor for the Surface Modification of Powder Materials
Michael Denchy, Josh Kintzer, Gavin Troop, Devon Jensen (Advanced Cooling Technologies, Inc.)

Chemically active plasmas afford a highly reactive environment through which a wide range of materials can be selectively modified with nanoscale control and precision. Advanced Cooling Technologies, Inc. (ACT) and its team of research and development and engineers have recently developed a newly commissioned research-scale radio frequency (RF) plasma reactor for surface treatment of powder materials. Reported here is the initial characterization of this system and its application to the nanoscale modification of metal surfaces, including surface oxide reduction and the deposition of a functional fluorocarbon coating. Surface oxide reduction and coating deposition were accomplished via processes employing a reducing argon/hydrogen (Ar/H2) plasma and plasma enhanced chemical vapor deposition (PECVD), respectively. Reduction of the native oxide layer of Al metal powder was measured via inert gas fusion (IGF), a bulk oxide measurement technique for solid materials. The deposited fluorocarbon coating was investigated via atomic force microscopy (AFM) and x-ray photoelectron spectroscopy (XPS) measurements of a coated ultra-flat Al thin film substrate. The plasma environments of both processes were probed via optical emission spectroscopy (OES) measurements and the presence of long-lived gas phase species from each process were detected using downstream mass spectrometry (MS) analysis.

PS-ThP-16 SF6- and CF-based Plasmas Interaction with Si and SiO2 at Room and Cryogenic Temperature: Insights from Molecular Dynamics Simulation
Jonathan ROMERO CEDILLO, Gilles CUNGE, Emilie DESPIAU-PUJO (LTM, CNRS/Universite Grenoble Alpes)

Introduced in the early 90s to perform deep etching of silicon, plasma cryoetching involves using highly reactive plasmas, such as SF6- or CF- based plasmas, to etch materials cooled down to temperatures below -100°C with high anisotropy. During cryoetching of silicon in SF6 plasmas, the addition of small amounts of oxygen allows to form a temperature sensitive SiOxFy passivation layer on the sidewalls of the trench, which prevents spontaneous lateral etching and desorbs completely when the substrate is returned to room temperature. Cryogenic processes have the advantage of being polymer-free and clean, which prevents process drift and makes them suitable for new applications where smooth sidewalls or reduced plasma-induced damage are required. These features are attractive for etching porous low-K materials in the back-end-of-line of advanced CMOS technology; applications to atomic layer etching of conventional materials (Si, Ge, GaN, InP) or emerging 2D materials (graphene, MoS2) are also envisaged. Although the understanding and control of plasma cryoetching has improved over the years, the fundamental mechanisms of the formation and desorption of passivation layers are not well understood. And differences between elementary plasma-surface interactions at cryogenic and room temperature remain unclear. In this paper, Molecular Dynamics (MD) simulations are performed to study the interaction between F- and CF- based plasmas with Si and SiO2 materials. The objective is to understand the impact of ion and radical plasma species (nature, dose, energy, etc.) on the structural and chemical modification of exposed materials, both at room and cryogenic temperatures. Quantitative information on surface reaction probabilities (sticking, thermal desorption, surface diffusion, sputtering yields) will be compared and discussed, to better understand the key mechanisms behind cryoetching and cryo-ALE processes.

This work was supported by ANR, under the project name PSICRYO (No. ANR-20-CE24-0014).

PS-ThP-17 Ion Energy Distributions in a Kaufman-type Ion Beam Source Operated with Ar and O2
Raymond Smith (Department of Electrical and Computer Engineering, University of Nebraska-Lincoln); Erik Rohkamm, Peter Birtel, Dmitry Kalanov, Frank Frost (Leibniz Institute of Surface Engineering (IOM)); Ufuk Kilic, Eva Schubert (Department of Electrical and Computer Engineering, University of Nebraska-Lincoln)
An energy-selective mass spectrometer has been used to measure ion energy distributions (IEDs) from a broad beam double-grid Kaufman ion source as a function of the grid 1 voltage. Two cases, Ar and mixed Ar/O2 process gases were studied, and IEDs were measured with and without the presence of a neutralizer current for both cases. A linear, one-to-one relationship between grid one voltage and the energy of single charged and double charged ions produced inside the ion source was observed. For Ar/O2, a broad triangular peak is observed for atomic oxygen due to the dissociation of O2. Additional ionization processes were detected for collision events which occurred in the ion beam outside of the source, and charge transitions caused the formation of fast single charged ions and slow double charged ions. The relationship between the presence of a neutralizer current and the ion energy peak positions are discussed for ionization processes inside and outside of the ion source. Knowledge of ion beam energy distributions will guide ion beam-assisted techniques for applications which require precision such as nanofabrication, sputtering, and ion-beam etching.
PS-ThP-18 Unraveling the Dynamics of Platinum Plasma-Enhanced Atomic Layer Deposition: Real-time Monitoring, Nonlinear Growth, and ALD Recipe Optimization using in-Situ Spectroscopic Ellipsometry
Yousra Traouli (University of Nebraska-Lincoln, USA); Ufuk Kilic (University of Nebraska - Lincoln); Eva Schubert, Mathias Schubert (University of Nebraska-Lincoln, USA)

In this work, we study the time evolution of platinum (Pt) thin film growth by Plasma-Enhanced Atomic Layer Deposition (PE-ALD) using in-situ using spectroscopic ellipsometry (SE) data in real time. We aim to understand the nonlinear growth regime that occurs during the initial stage of the deposition process. We employ a (MeCp)PtMe3 organometallic precursor as the primary reactant and a remote oxygen plasma as the co-reactant. With in-situ SE, we explore the cyclic surface modifications and unravel the growth mechanisms during the Pt thin films deposition for different number of cycles. The complex dielectric function of platinum thin films is also extracted from the analysis of the in-situ ellipsometry data. Additionally, scanning electron microscope and atomic force microscopy are employed to investigate the change in the film surface morphology. We also present crystallographic and chemical analysis using X-ray diffraction and X-ray photoelectron spectroscopy. The insights gained from this study contribute to a deeper understanding of the ALD process for Pt and offer valuable guidelines for the optimization of a robust ALD recipe.

PS-ThP-19 Spatiotemporal Analysis of Electron Heating in Single Frequency and Pulsed-Rf Capacitively Coupled Plasma Using a Parallelized Particle-in-Cell Simulation
Sun Jeong Hwang, Junhee Mun (Pusan National University)

In recent semiconductor etching processes, pulse-driven capacitively coupled plasma (CCP) is widely used to achieve high etching selectivity. As technology advances, the equipment structures used in these processes become increasingly complex, requiring various conditions. Consequently, diverse issues are emerging within the CCP equipment, and understanding the various plasma phenomena occurring inside the process equipment is essential for solving these problems. To investigate the nonlinear and transient particle dynamics of CCPs, particle-in-cell (PIC) simulations are required [1,2]. This study uses a parallelized PIC simulation called K-PIC to investigate the onset of arcs in the gap between the wafer edge and the focus ring, which occurs in actual CCP equipment. We report the electron heating concentrated in the gap [3] through spatiotemporal data analysis, examining the effects of driving waveforms and the gap length [4] between the wafer edge and the focus ring.

References

[1] J.S. Kim, M.Y. Hur, C.H. Kim, H.J. Kim, and H.J. Lee,J.Phys. D: Appl. Phys. 51, 104004 (2018).

[2] M.Y. Hur, J.S. Kim, I.C. Song, J.P. Verboncoeur, and H.J.Lee, Plasma Res. Express 1, 015016 (2019).

[3] T. Lafleur, P. Chabert, J. P. Booth, Plasma Sources Sci. Technol, 23, 035010 (2014).

[4] J.S. Kim, M.Y. Hur, H.J. Kim and H.J. Lee, J.Appl.Phys. 126, 233301 (2019).

View Supplemental Document (pdf)
PS-ThP-20 Understanding Olefin Selectivity in Light Hydrocarbon DBD Plasmas
Ibukunoluwa Akintola, Jinyu Yang, Jason C. Hicks, David B. Go (University of Notre Dame)
The conversion of light hydrocarbons into higher-order species, such as olefins and aromatics, offers the potential to upgrade natural gas into other chemicals that are essential to a wide variety of consumer products. This can be achieved using thermal catalysis but there is a need for alternative carbon-efficient, environmentally friendly, sustainable processes. Low-temperature, atmospheric plasmas (LTPs) produce highly reactive chemical environments and present the potential for an electrical approach to light hydrocarbon conversion into valuable products as a part of the electrification of the chemicals industry. Preliminary findings show plasma activation of methane directly forms C2+ olefins and alkynes with high selectivities at relatively low temperatures and atmospheric pressure, which when combined with certain catalysts can create aromatics or higher molecular weight products. In this work, we use a dielectric barrier discharge (DBD) to systematically study the selectivity of olefins to alkanes for various light hydrocarbon plasmas, including methane (CH4), ethane (C2H6), and propane (C3H8). We explore changing operating conditions (temperature, plasma power, and gas composition) and their effect on the plasma and reaction pathways.We utilize optical emission spectroscopy (OES) and electrical characterization to investigate changes to specific gas phase species densities (C2 and CH) and analyze both gas and liquid phase products to elucidate the mechanisms directing olefin selectivity and identify key parameters affecting product distribution.Complementary plasma simulations also provide a better interpretation of reaction mechanisms that influence observed product formation.
Session Abstract Book
(378KB, Jul 23, 2024)
Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 70 Schedule