AVS 68 Session AP+AS+EM+HI+PS+SS+TF-TuM: Area Selective Processing and Patterning II

Tuesday, November 8, 2022 8:00 AM in Room 317

Tuesday Morning

Session Abstract Book
(295KB, Nov 18, 2022)
Time Period TuM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
8:00 AM AP+AS+EM+HI+PS+SS+TF-TuM-1 New Precursors and Approaches to ALD and AS-ALD of Metals
Mikko Ritala (University of Helsinki)

Metal ALD is a topic where high technological relevance combines with inspiring and challenging scientific questions. As always, the success of ALD builds on chemistry. There is constant need for new precursors enabling ALD of metals of interest with improved characteristics. A major challenge arise from the strong tendency of metals to agglomerate, hence preventing achieving continuous films at the smallest thicknesses. Lowering of the deposition temperature is of utmost importance to limit the agglomeration. This requires highly volatile and reactive metal precursors and reducing agents. 1,4-bis(trimethylgermyl)-1,4-dihydropyrazine ((Me3Ge)DHP) is a new reducing that is found more efficient than its earlier reported silicon analogue. NiCl2(PEt3)2 in turn represents a series of metal halide adduct compounds of nickel and cobalt where the poorly volatile parent halides are made volatile by proper adduct ligands. The NiCl2(PEt3)2 - (Me3Ge)DHP combination affords deposition of Ni at 110 °C which is the lowest temperature for thermal ALD of Ni so far. (Me3Ge)DHP enables also deposition of gold. This is the first reductive thermal ALD process of gold.

Area-selective ALD of metals is an important topic for self-aligned thin-film patterning. An entirely new approach to this is area-selective etching of polymers. In these etching processes the selectivity arises from the materials underneath the polymer layers. Both O2 and H2 can be used as an etchant gas. Etching gas molecules diffuse through the polymer film, and if they meet a catalytic surface underneath, the molecules become dissociated into their respective atoms which then readily react with the polymer etching it away. On noncatalytic surfaces the polymer film remains. When combined with area-selective ALD, self-aligned etching of polymers opens entirely new possibilities for the fabrication of the most advanced and challenging semiconductor devices. An example is given where the area-selective etching of polyimide from Pt was followed by area-selective ALD of iridium using the patterned polymer as a growth-inhibiting layer on SiO2, eventually resulting in dual side-by-side self-aligned formation of metal-on-metal and insulator (polymer)-on-insulator.
8:40 AM AP+AS+EM+HI+PS+SS+TF-TuM-3 Comparing Interface and Bulk Physicochemical Properties of TiO2 Deposited by PEALD Assisted by Substrate Biasing on Thermal SiO2 and TiN Substrates, for Area Selective Deposition Application
Jennifer Not (LTM - MINATEC - CEA/LETI, France); Lucie Mazet (STMicroelectronics); Tony Maindron (Minalogic); Rémy Gassilloud (CEA-LETI, France); Marceline Bonvalot (LTM - MINATEC - CEA/LETI, France)

To bypass the limitations implied by the miniaturization of electronic components, area selective deposition (ASD) is becoming a key point of focus, as photolithography steps are avoided. This bottom-up promising technique, as opposed to the top down approach inherent to etching, relies on nucleation mechanisms resulting from substrate - precursor interactions. Differing nucleation kinetics may indeed be observed under very same experimental conditions,1 allowing a growth delay on a surface type while simultaneously promoting growth on a different surface.

Atomic Layer Deposition (ALD) remains a technique of choice to obtain area selective deposition. Based upon the self-limiting nature of surface reactions, this technique enables a conformal deposition with atomic-scale thickness precision, and is gradually becoming a major deposition process in the microelectronic industry.

The ALD reactor used in this study includes an ICP deported plasma source and is equipped with an additional RF polarization kit at the back side of the chuck, enabling plasma ion extraction from the source towards the substrate surface. Depending on the ion incident kinetic energy, which can be tuned as a function of the applied polarization bias, this ion flux can modulate the properties of the thin film under growth, opening new perspectives of physicochemical properties. These properties may also vary according to the substrate surface, making this RF polarization kit an interesting experimental knob for the development for ASD processes2.

PEALD TiO2 layers of various thicknesses have been deposited with no air break on a 15 nm-thick TiN layer, as well as on a 100 nm-thick thermal SiO2 substrate, under various polarization bias power from 0 W to 80 W. The purpose of this work is to physically and chemically characterize the obtained thin films with respect to substrate surface, and to understand how these properties evolve with the film thickness and for various bias values. X-Ray Reflectivity (XRR), Grazing Incident X-Ray Diffraction (GIXRD), in-situ and ex-situ ellipsometry and Angle Resolved X-ray Photoelectron Spectrometry (AR-XPS) measurements have been performed, providing detailed information on chemical bond formation during nucleation and within the bulk TiO2 layer, and thin film physical properties, such as thickness, density, roughness and crystallinity. The outcome of this study gives some insight into the benefit of bias for area selective deposition of TiO2 thin films on TiN against SiO2.

References

  1. C. Vallée, M. Bonvalot et al., J. Vac. Sci. Technol. A 38(3) May/June 2020
  2. T. Faraz et al., ACS Appl. Mater. Interfaces, 10, 13158−1318 (2018)
9:00 AM AP+AS+EM+HI+PS+SS+TF-TuM-4 Area Selective Atomic Layer Deposition of SnO2 as An Etch Barrier
Xin Yang (University of Texas at Austin); Brennan Coffey (Lam Research Corp); John Ekerdt (University of Texas at Austin)

Reactive ion etching (RIE) is widely used in semiconductor nanofabrication processes since it can provide high etch rate, high selectivity, and high anisotropy. Traditional etch masks such as organic photoresists suffer from shortcomings such as low etch selectivity. Other material systems have been investigated to improve the selectivity. Sn(0)-containing block copolymers were demonstrated as materials for nanolithographic applications.

Here we propose SnO2 as a RIE etch mask in fluorine-based etching processes. Tin forms nonvolatile compounds with fluorine enabling tin to function as an etch mask. We establish processes that create SnO2 grid patterns, which can be transferred into the Si native oxide substrate using SF6 RIE. The concept is illustrated using a 1000-mesh copper TEM grid as an ultraviolet light shadow mask to generate patterns in polystyrene. SnO2 patterns are achieved by area selective atomic layer deposition (ALD) using tetrakis(dimethylamino) tin(IV) and H2O as ALD precursors on a Si native oxide at 170 °C. The selective growth can be directed by the hydrophilicity of the substrate surface. ALD growth of SnO2 shows no nucleation delay on Si native oxide, which is hydroxylated. By coating the substrate with a polymer such as polystyrene (PS) the reactive sites can be passivated to accomplish selective growth. SnO2 growth can be blocked up to 50 cycles on H-terminated Si(001), and 200 cycles on cured polystyrene and possibly beyond. Atomic force microscopy (AFM) results show that SnO2 grown on native oxide has a low roughness of 75 pm, while SnO2 grown on H-terminated Si has a relative higher roughness of 250 pm indicating a 3-D growth process. To create SnO2 patterns 20, 50, and 100 ALD cycles of SnO2 are selectively deposited onto Si native oxide with estimated SnO2 thicknesses of 1.2 nm, 3 nm and 6 nm, respectively. Samples are then etched with SF6 RIE for 30 s to 1 min at room temperature and 200 mTorr. AFM results show that SnO2 grid patterns are transferred into the substrate with a depth of around 300 nm to 1 µm for all three samples. X-ray photoelectron spectroscopy results show that some SnO2 is transformed into SnF4 for 100 ALD cycle samples, while all of the SnO2 is transformed into SnF4 for 20 and 50 ALD cycle samples.

View Supplemental Document (pdf)
9:20 AM AP+AS+EM+HI+PS+SS+TF-TuM-5 Selective Deposition Two Ways: Chemical Bath Deposition of Metal Sulfides on Organic Substrates
Tania Estrada, Amy Walker (University of Texas at Dallas)

Selective deposition has many technological applications. While area selective deposition (ASD) has been widely investigated using atomic layer deposition (ALD), there have been few studies of composition-selective deposition or ASD in which growth occurs at material boundaries. In this talk we shall illustrate these alternate selective deposition methods using two examples.

First, we demonstrate that the composition of tin sulfides is controlled by the bath pH and the interaction of sulfur-containing species with -CH3, -OH and -COOH terminated self-assembled monolayers (SAMs). On -OH terminated SAMs, as the bath pH increases from 10 to 12, the tin sulfide deposited changes from SnS2 to Sn2S3. On -COOH terminated SAMs the deposit is S2S3 at pH 10 and SnS at pH 12. In contrast, on -CH3 terminated SAMs the deposit changes from SnS at pH10 to Sn2S3 at pH 12. We attribute this behavior to a competition between the repulsion of the chalcogenide ions by the negatively charged carboxylic acid and hydroxyl terminal groups and an increase in chalcogenide ion concentration with increasing bath pH.

Second, we exploit the interaction of the chalcogenide ions with different SAM terminal groups to deposit CuS nanowires at the junction of micropatterned -OH/-CH3 terminated SAMs. We term this method SEmiconductor Nanowire Deposition On Micropatterned substrates (SENDOM). In SENDOM the deposition reaction is kinetically favored on the -CH3 terminated SAMs but transport of reactants is preferred on the hydrophilic -OH terminated SAM. Thus at short deposition times a nanowire forms at the junction of the -OH and -CH3 terminated surfaces.

9:40 AM AP+AS+EM+HI+PS+SS+TF-TuM-6 Anatase Crystalline Phase Discovery on Ultra-Thin Layer TiO2 Films During Low-Temperature Ald on Fluorine-Rich Carbon Substrates
Brian Butkus, Shaurya Dabas, Corbin Feit, Jeya Ganesan, Zackary Parsons, Xiaofeng Feng, Parag Banerjee (University of Central Florida)

A novel approach to crystalline anatase phase in atomic layer deposition (ALD) of TiO2by deposited on a fluorine-rich carbon substrate using titanium (IV) isopropoxide (TTIP) and O2 plasma. In films deposited at temperatures as low as 100 oC and with a thickness of only 4 nm, highly crystalline anatase phases have been observed. Furthermore, when deposited on glass or silicon substrates other than carbon, TiO2 films consistently produce amorphous films under these conditions. This talk will highlight the unique substrate-driven crystallization of ALD TiO2 and lays the ground rules for selective crystallization using surfaces with suitable initiation chemistries.

An ALD process using a Veeco® Fiji Gen2 ALD system was used to deposit TiO2 on hydrophobic, polytetrafluoroethylene-coated carbon substrates (AvCarb GDS2230 from Fuel Cell Store). Temperatures of the ALD ranged from 100 oC to 200 oC, and O2 plasma (300 watts) and water were used as oxidants. Target film thickness ranged from 4 nm to 22 nm. To characterize the films, Raman, Fourier transform infrared spectroscopy (FTIR), and x-ray photoelectron spectroscopy (XPS) were used. AvCarb GDS2230 substrates with an O2 plasma as an oxidant resulted in anatase TiO2 films irrespective of thickness, even when deposited at temperatures below 100 oC. However, the anatase phase is significantly weaker when H2O is used as the oxidant. An interfacial layer of ALD Al2O3 suppresses the growth of the anatase phase. Data from XPS indicates that Ti-F bonds form at the pre-deposition stages of films with anatase TiO2. On non-fluorinated substrates, where the Ti-F bond does not exist, this structurally distinguishes amorphous TiO2. This fluorine on the surface of the carbon paper serves as a directing agent1-5 for the application of TTIP to PTFE in a flourolysis reaction, which drives the TiO2 to crystallize into anatase films.

Fluorine doped crystallization in Ti-O systems has been reported in sol-gel and hydrothermal approaches to synthesize TiO2 powders.6, 7Here, we report the first gas-phase analog of the above reaction mechanism to synthesize crystalline anatase TiO2 films. With this strategy, surface initiation chemistries can be used to achieve area-selective and in situcrystallization of films.

View Supplemental Document (pdf)
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM AP+AS+EM+HI+PS+SS+TF-TuM-10 Site-selective Atomic Layer Deposition: Targeting Electronic Defects
Alex Martinson (Argonne National Laboratory)
While ALD is most commonly employed in uniform conformal growth, more selective precursors and processes may allow for more precise synthetic strategies including targeted reaction at subtly unique surface sites including those that lead to electronic defects. We apply a selective hydration strategy to target reaction at the step edges and/or oxygen vacancies of rutile TiO2 and In2O3. We computationally and experimentally investigate the feasibility of facet- and site-selective ALD through accurate asymmetric slab models from which the free energy of adsorption at unique surface sites is leveraged to predict step selectivity. Computational evaluation of ALD precursor adsorption free energies on multiple dehydrated facets further refine the feasibility of a temperature-dependent selective hydration strategy. Initial experiments of Al2O3 and Ga2O3ALD nucleation on TiO2single crystals and MgO ALD on In2O3broadly support the computational predictions and strategy. The strategies outlined here provide one possible route to selectively target growth at structural defects of oxide surface that may also act as surface or interface electronic defects.
11:40 AM AP+AS+EM+HI+PS+SS+TF-TuM-12 Low Temperature Area-selective ALD and ALE of Pd
Himamshu Nallan, Xin Yang, Brennan Coffey, John Ekerdt (University of Texas at Austin)

Thin film deposition and etching approaches may be constrained by the temperature limits for flexible substrates in roll-to-roll processes.We describe a low temperature ALD route to Pd metal film growth at 100 °C that uses Pd(hfac)2 and H2.The Pd ALD reaction proceeds in the presence of atomic hydrogen; Pd growth nucleates without delay on a Ni metal seed layer that catalyzes H2 dissociation.Once nucleated, the evolving Pd surface catalyzes H2 dissociation.To generate the Ni seed layer, a NiO film is first deposited and reduced with an atomic hydrogen source. The 100 °C ALD of NiO using bis(N,N’-di-tert-butylacetamidinato)nickel(II) and H2O as coreactants is highly selective on exposed oxide surfaces and blocked on organic surfaces.NiO films as thin as 0.5 nm, once reduced to Ni0, effectively seed Pd growth through catalytic area activation.NiO reduction employs an e-beam heated tungsten capillary at 2.5 × 10-6 Torr H2 that generates atomic hydrogen to reduce NiO films at 100 °C.

In the event non-selective nucleation occurs on passivated regions, we describe a low temperature ALE route to etch clusters/islands on the passivated regions faster than the desired film.This low temperature route exploits the general findings that metal oxides can be etched by a variety of vapor phase etchants, such as formic acid – the issue is controlled oxidation while minimizing damage to adjacent surfaces.We present a low temperature route that involves VUV (115 nm < λ < 180 nm) activation of O2 to produce atomic oxygen and ozone, with atomic oxygen being the dominant species that oxidizes the near surface region of Pd at 100 °C.Oxidation extents differ between continuous films and discontinuous films enabling the removal of metal islands without significantly etching the film.Density functional theory is used to study the adsorption of oxidants (O and O3) and describe O diffusion into the films to understand the kinetic limitations of the oxidation step.

Session Abstract Book
(295KB, Nov 18, 2022)
Time Period TuM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 68 Schedule