AVS 68 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, November 8, 2022 6:30 PM in Ballroom A

Tuesday Evening

Session Abstract Book
(311KB, Nov 18, 2022)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

PS-TuP-1 SiO2 Contact Hole Etching Using Heptafluoropropyl Methyl Ether Plasmas
Sanghyun You, Chang-Koo Kim (Ajou University)

Perfluoro carbons (PFCs) such as CF4 and c-C4F8 are mainly for SiO2 etching. PFCs aggravate global warming even in small amounts due to their high global warming potentials (GWPs). As the critical dimension keeps decreasing, the number of processes consisting of etching-deposition repetition such as double patterning technology increases, leading to an increase in PFC emission. According to the World Semiconductor Council, the emission of PFCs from the global semiconductor processes in 2020 has been increased by 37.9% compared to that in 2012. Semiconductor industries are trying to reduce PFC emissions through post-treatment processes such as recovery or decomposition of exhaust gases. However, it is not suitable as a long-term solution since a lot of electricity or water is used in the post-treatment processes. To reduce PFC emission from a long-term perspective, it is necessary to develop a process using materials with low GWPs. Unsaturated fluorocarbons, iodofluorocarbons, fluoroethers, and fluoroalcohols have been studied as alternative chemistries to PFCs.

In this study, SiO2 contact hole etching was conducted using heptafluoropropyl methyl ether (HFE-347mcc3) plasmas. HFE-347mcc3 belongs to fluoroethers, and its GWP (~350) is significantly lower than that of PFC. The angular dependence of the SiO2 etch rates were investigated to explain the etch mechanism during SiO2 contact hole etching.

PS-TuP-2 Selective Cyclic Etching of Silicon Oxide Over Silicon Nitride Using NF3/H2 Remote Plasma and NH3
Hong Seong Gil, You Jung Gill, Doo San Kim, Yun Jong Jang, Hae In Kwon, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))

For the selective isotropic etching of SiO2 over Si3N4, due to the pattern sticking by a capillary action in nanoscale patterns, dry etch processing methods are widely investigated instead of wet etch processing especially for the next-generation semiconductor processing. Among various isotropic dry etching methods using plasmas, combinations of F‐based gases and H‐based reactive gases, such as NF3/NH3, OF2/NH3, CF4/ NH3, etc. have been investigated with downstream plasmas, remote plasmas, and so forth. In addition, these days, for the applications to various semiconductor devices, it is found that it is necessary to control the thickness of etched oxide thickness during the etching in addition to improving high selectivity over silicon nitride. In this study, selective isotropic cyclic dry etching of silicon oxide (SiO2) was investigated using a three‐step cyclic process composed of hydrogen fluoride (HF) adsorption by NF3/H2 remote plasma, reaction with NH3 gas flow to form ammonium fluorosilicate ((NH4)2SiF6), and desorption by heating. The variation of the ratio of NF3:H2 and adsorption time showed the variation of etch selectivity of SiO2 over Si3N4. The characteristics of the cyclic remote plasma etching by NF3/H2 gas chemistry were analyzed by FT-IR and, the etch depth per cycle and etch profiles of SiO2 were observed by an ellipsometer and FE-SEM.

PS-TuP-3 Identification of Critical Factors in Plasma Enhanced Atomic Layer Etching of Silicon Nitride through First-Principles-Based Simulations
Erik Cheng, Gyeong Hwang (The University of Texas at Austin); Peter Ventzek, Zhiying Chen, Shyam Sridhar (Tokyo Electron America)
Viable plasma enhanced atomic layer etching (PEALE) of silicon nitride (SiN) by inert ion bombardment of a hydrofluorocarbon (HFC) treated surface has been demonstrated, but the details of the underlying mechanisms remain poorly understood. To this end, we have used molecular dynamics (MD) simulations based on the self-consistent-charge density-functional tight-binding (SCC-DFTB) theory to study the nonequilibrium chemistry and physics that can occur during PEALE of SiN with HFCs. From analyzing the results of thousands of such simulations, we have identified several potentially critical factors for the design of improved PEALE processes to be used in next-generation semiconductor manufacturing.

We first assessed the reactivity of surfaces, both with and without ion damage, and found it to be generally unreactive towards HFCs, suggesting that HFCs may primarily stay physisorbed, rather than chemisorbed, prior to ion bombardment.

Secondly, these physisorbed HFCs, upon ion bombardment, produce key decomposition fragments that may dictate the nature of the chemical modification that is achieved in the underlying film. This is seen when comparing CF4 and CH3F, the former primarily decomposing to CF2 and 2F while the latter decomposes to CH3 and F. As a result, CF4 results in greater amounts of F modification in the film, a tendency for C atoms forming higher order bonds with atoms in the film, and greater ion penetration depth.

Thirdly, we find that the nature of the film modification in PEALE is not only a function of NC and SiF bond formation, but that further SiC bond formation and defect generation in the film also have a major influence and may be important for volatilization of etch products. These etch products not only tend to contain F, but also H, C, and N, although to a lesser extent. However, at greater concentrations, we have demonstrated that F continues to be beneficial for volatilization, but that C and H can have adverse and neutral effects, respectively.

Our simulations have demonstrated the key roles of the complex dynamics induced by ion bombardment during PEALE, and we have highlighted key aspects identified from our analyses. Through understanding these key influences, development of improved PEALE processes could be greatly accelerated.
PS-TuP-6 Dry Etching of Co Thin Films Using High Density Plasma of Organic Gases
Seon Jae Kim, Eun Taek Lim, Sung Yong Park, Seung Hyun Kim, Chee Won Chung (Inha University)

Copper interconnect, which has low resistivity, high electromigration resistance, and good mechanical properties, has replaced aluminum interconnect as the semiconductor devices are scaled down.However, as the semiconductor devices are increasingly scaled down, the metal interconnect line widths have been reduced to a level lower than 39 nm, which is the electron mean free path in the bulk state of copper. The scaling down in interconnect dimensions causes a resistivity size effect in which the resistivity of copper increases rapidly.Meanwhile, cobalt is a promising alternative for next-generation interconnect materials due to its lower resistivity at smaller dimensions (~10 nm), high melting point, and high compatibility with CMOS [1].

Despite the good properties of cobalt, dry etching of Co thin films have not been developed because Co has very low reactivity and its etch byproducts are nonvolatile. Therefore, it is necessary to develop an optimal etching gas and dry etching process for cobalt thin films.

In this study, dry etching of Co thin films patterned with TiN hard mask was carried out using inductively coupled plasma of organic gases. The etch rate, etch selectivity to hard mask, and the etch profile will be investigated using scanning electron microscopy and energy dispersive X-ray spectroscopy. The etch mechanism of cobalt thin films using organic gases will be examined using X-ray photoelectron spectroscopy.

AcknowledgementThis research was supported by Basic Science Research Program through the NRF (National Research Foundation of Korea) funded by the Ministry of Education (2021R1F1A1047428). This work was also supported by Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea Government (MOTIE) (P0008458, HRD Program for Industrial Innovation) and This work was also supported by the MOTIE (Ministry of Trade, Industry & Energy (20019504)).

References [1] D.H. Choi, Korean J. Met. Mate. 56, (2018) pp.605-610.

PS-TuP-8 Selective Etching of Silicon Nitride with Remote ClF3/H2 Plasma
Seongjae Yu, Kihyun Kim, Youjin Ji, Jieun Kang, Geunyoung Yeom (Sungkyunkwan University (SKKU))

Highly selective etching of silicon nitride (SiNx) over silicon oxide (SiOy) is of great importance for oxide/nitride stacks in a 3D-NAND fabrication process. In this study, selective isotropic etching of SiNx to SiOy has been researched by adjusting a ClF3/H2 remote plasma in an inductively coupled plasma system. The etch rate of SiNx over 80 nm/min and Selectivity (SiNx over SiOy) of ~130 was experimentally produced using ClF3 remote plasma at a room temperature. Moreover, when H2 was added to ClF3, the selectivity increased to 200 while lowering the etch rate of both SiNx and SiOy as F radical is decreased in the plasma. The possible etch mechanism of SiNx under Cl, F, H radicals was suggested based on the plasma and surface analyses. The etch characteristics of SiNx and SiOy according to the substrate temperature showed a higher activation energy of SiOy compared with SiNx under ClF3 plasma.

PS-TuP-10 Layer-by-Layer Etching of Copper Thin Films Under Acetylacetone/O2 Gas Mixture
Seung Hyun Kim, Eun Taek Lim, Sung Yong Park, Chee Won Chung (Inha University)

Previously, acetylacetone (acac) was employed at the gas cluster ion beam etching for copper films. They reported that the etch depth of copper using acac and O2 gas mixture was deeper than that of copper using O2 gas only, introducing the possibility of etching for copper films. However, they presented no etch profiles on copper films [1].

In this study, layer-by-layer etching of copper thin films was performed using acac/O2 gas mixture and Ar plasma. Layer-by-layer etching involves two-step procedure, which contains the surface modification by the exposure of copper films to acac/O2 gas and the elimination of the modified layers by the sputtering of Ar ions. The surface modification step was optimized by varying the flow-rate ratio of acac/O2 gases and exposure time, which led to the oxidation of copper films and the formation of copper compounds. In addition, the elimination step also was developed by changing the dc-bias voltage to the substrate and sputtering time. The surface modification and elimination of the modified layers were verified by X-ray photoelectron spectroscopy and energy dispersive X-ray spectroscopy. The etch per cycle was obtained in the range of 0.7-3.0 nm/cycle, relying on the Ar sputtering at the fixed exposure condition. Layer-by-layer etching of copper films masked with SiO2 presented good etch profiles without redepositions. Layer-by-layer etching of copper films using acac/O2 gas mixtures can be one choice to demonstrate the fine pattern on copper films.

AcknowledgementThis work was also supported by Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea Government (MOTIE) (P0008458, HRD Program for Industrial Innovation) and This work was also supported by the MOTIE (Ministry of Trade, Industry & Energy (20019504)).

.

References [1]N. Toyoda, & K. Uematsu, Jpn. J. Appl. Phy., 58(SE), SEEA01,(2019).

View Supplemental Document (pdf)
PS-TuP-11 Enhancement of Plasma Uniformity Controlling Thermal Conductivity by Insulator Ring
Jinuk Park, Jin-Hong Park (Sungkyunkwan University (SKKU))

Regardless of the change over time, efforts to keep wafer edge's etch rate (ER) constant became an important area in etching technology as the size of wafer increased and the structure of the chip became more complicated. Therefore, semiconductor manufacturing facilities have developed to precisely etch the edge area. In this study, we developed a thermal interfacial material (TIM) with high thermal conductivity and changed the handling method of the TIM efficiently to improve used TIM Pad thermal conductivity.

TIM is implemented in the form of a pad having a heat dissipation component by adding thermal conductive fillers (AlN, Al2O3, Al) to a silicon-based resin. In this study, we will show you that feature of TIM pad depending on how to make TIM pad.

The characteristics of TIM pad is changed depend on how to deal with pad. In this paper, we will studied changed characteristic of TIM pad how long pad exposure to atmosphere and when does it make.

PS-TuP-12 Effect of C4H2F6 Isomers on the Etch Characteristics of SiO2
Hyejoo Lee, Hyun Woo Tak, Seong Bae Kim, Da In Sung, Tae Hyun Park, Ji Yeon Kim, Jin Hong Min, Wen Long, Dong Woo Kim, Geun Yong Yeom (Sungkyunkwan University (SKKU))

As the device structure is scaling down in semiconductor devices, the requirements of higher selective etching process are increased. To meet the requirements, high aspect ratio contact (HARC) etching process is being developed in the direction to use more polymer forming fluorocarbon (PFC) and hydrofluorocarbon (HFC) gases. In addition, the PFC/HFC gases used for HARC etching for DRAM and 3D NAND devices such as C4F8, CHF3 etc. have a long lifetime in the atmosphere when exhausted and have a high global warming potential (GWP) simultaneously. Therefore, to improve the etch selectivity for HARC etch processes and to replace the high GWP gases with low GWP HFC/PFC gases, various HFC/PFC gases are under investigation.

In this study, to understand the effect of the molecular structure of HFC gases on the etch characteristics of SiO2 and its relationship to the GWP, C4H2F6 isomer gases which are one kind of the high polymer forming HFC gases were used, and their dielectric etch characteristics and global warming effect depending on their molecular structure were investigated. Even though the chemistry of the HFC isomers is the same, due to the different molecular structures, in addition to differences in the boiling points and the global warming potentials, the etch characteristics were different especially at a critical oxygen mixing percentage due to the differences in gas dissociation related to the specific chemical structure of C4H2F6 isomer.

PS-TuP-13 Analysis on Ion Energy Distribution and Ion Mean Energy for All Radio-Frequencies and Pressures
Inho Seong, Sijun Kim, Youngseok Lee, Chulhee Cho, Wonnyoung Jeong, Yebin You, Shinjae You (Chungnam National University)

As low-temperature plasmas have played a crucial role in modern semiconductor manufacturing, the understanding of ion energy distribution (IED) and ion mean energy at the electrode according to external control parameters is essential for satisfying a design rule. We studied IEDs and ion mean energy at the electrode in a wide range of frequency and pressure with an ion trajectory simulation coupled to Monte Carlo collision method. We observed a new tendency for the ion mean energy to increase and then decrease from low to high frequency in low pressure so that we analyzed ion trajectories for frequency and pressure regime. In addition, we found the frequency trend of the ion mean energy including the frequency region in which the ion mean energy is unchanged at a relatively high pressure and the frequency region shift of maximum ion mean energy. We are expected that these results show a different interpretation of the collision known as reducing the ion energy in the sheath.

PS-TuP-14 Moving Toward Antibacterial Wound Dressings: Modifying Commercially-available Materials Using Pulsed and Continuous-wave 1,8-cineole Plasma
Mia-Rose Kayaian, Morgan Hawker (California State University, Fresno)

Hospital-acquired infections (HAIs) are one of the primary causes of mortality. According to Medicare data, HAIs are estimated to cost the United States anywhere from 28.1 to 96.8 billion dollars yearly. Most HAIs begin with bacterial attachment to the wound and, if left untreated, the infection site can become a chronic wound. For existing chronic wounds, oral and topical antibiotics are the clinical standards. This can become problematic because of the potential development of antibiotic resistance. Thus, incorporating a modification to the wound dressing that actively kills bacteria on contact without creating antibiotic resistance would be the best outcome for treating chronic wound infections. Plasma-enhanced chemical vapor deposition (PECVD) using 1,8-cineole (an active component of eucalyptus oil) as the plasma feed gas has been shown to actively kill bacteria on the surface of glass slides. Yet, 1,8-cineole-based PECVD strategies have not been extended to wound dressing materials.

The goal of the present study was to use 1,8-cineole PECVD to modify commercially-available wound dressing materials. By adjusting PECVD parameters, such as changing the applied power, it is possible to control the coating chemistry. However, no attempt has been made to compare pulsing vs. continuous-wave deposition. In other PECVD systems, pulsing has enabled the plasma precursor functional groups to be maintained within the coating. Because the functional groups give 1,8-cineole its antibacterial properties, we anticipated pulsing would enable the functional groups to be maintained upon PECVD. We hypothesized that wound dressing materials treated using pulsed plasma conditions would exhibit greater antibacterial properties compared to those treated with continuous conditions because pulsing allows for the functional groups to be maintained. Water contact angle goniometry was used to evaluate changes in surface wettability before and after plasma treatment. Additionally, x-ray photoelectron spectroscopy was used to quantify the elemental composition of plasma-treated and control surfaces. Zone of inhibition testing was performed to evaluate antibacterial properties against both gram-positive and gram-negative bacteria. Overall, previously established 1,8-cineole PECVD strategies were extended to wound dressing materials. This work represents progress towards addressing the need to directly target chronic wound infectious sites.

PS-TuP-15 To What Extent Do Nitrogen and Water Vapor Plasma Treated Silk Films Exhibit Hydrophobic Recovery?
Ashley Keobounnam, Chase Lenert-Mondou, Morgan Hawker (California State University, Fresno)

Silk fibroin (silk) is a naturally-derived polymer from the bombyx mori cocoon. Silk has great potential applications for biomedical use due to its mechanical strength, non-immunogenic properties, and ability to biodegrade. However, the hydrophobic nature of silk can cause challenges with cell adhesion in vivo. Therefore, modification must be performed to improve the surface hydrophilicity. Low-temperature plasma (LTP) modification is a well-established method for polymer modification and has the benefits of being a solvent-free process. Nitrogen and water vapor LTP are both well-documented as strategies to enhance polar functional groups on a polymer’s surface. However, LTP-modified polymers have been documented to experience hydrophobic recovery. During hydrophobic recovery, the modified polymer chains rearrange from a higher to lower surface energy state, negating the effects of LTP modification. Notably, the hydrophobic recovery of LTP-modified silk has not been previously studied.

The goal of this study was to systematically evaluate the hydrophobic recovery of nitrogen and water vapor LTP treated silk films. Silk films were dropcast on glass slides and were LTP-modified using optimized plasma parameters (applied power, pressure, and treatment time). Interactions between silk films and water were evaluated with water contact angle goniometry. Initial results showed that untreated silk films exhibited water contact angles of 69 +/- 1°. After nitrogen and water vapor LTP treatments, the films showed water contact angles of 35 +/- 1° and 25 +/- 2°, respectively, demonstrating a significant decrease in hydrophobicity. Films were then aged under ambient conditions up to 6 weeks and analyzed at specific time points (0 days, 7 days, 21 days, and 42 days). Both the nitrogen and water vapor plasma-modifed silk film water contact angles remained relatively stable throughout the 42 day aging period, suggesting that minimal hydrophobic recovery occurred.

PS-TuP-16 Ion Energy Control Independent with Ion Density Using a Passive Antenna in an Inductively Coupled Plasma Source
Minsu Choi, Sijun Kim, Inho Seong, Chulhee Cho, Youngseok Lee, Wonnyoung Jeong, Yebin You, Byeongyeop Choi, Shinjae You (Chungnam National University)

As elaborate etching such as atomic layer etching becomes a challenge in modern semiconductor and display processes, The precise independent control of ion energy and density for accurate etching has attracted tremendous interest. While previous techniques have focused on a variant of the electrical system called active control, this study proposes a method via a passive antenna without active control.

The proposed technique controls electromotive force induced by the inductive coupling between the discharge antenna and the passive antenna, which varies plasma potential and finally ion energy. To verify the proposed technique, ion energy distribution (IED) and plasma potential were measured by an ion energy analyzer and emissive probe, respectively, and the electromotive force was controlled by adjusting the distance between those antennae.

The experiment result shows the increase of plasma potential and ion energy with constant ion density as the antennae distance decreases. This result means that ion energy can be controlled independently of ion density by using the passive antenna.

This study demonstrated the ion energy control technique independent of its density with a passive control and would be applicable for future semiconductor processing.

PS-TuP-17 The Impact of Si3N4 Ultra-thin Layer on InN Growth on Si(111) by RF-MOMBE
Wei-Chun Chen (Taiwan Instrument Research Institute, National Applied Research Laboratories); Sheng Chen, Chin-Pao Cheng (Department of Mechatronic Engineering, National Taiwan Normal University)

Si3N4 ultra-thin layer were grown on Si(111) surface by RF N2 plasma exposing with various N2 flow rate and nitriding time. After the Si3N4 thin films were as a buffer layer for the InN crystals growth. We discussed the effect of InN/Si3N4 ultra-thin film on the optical, chemical, structural properties. The results indicated that stoichiometric Si3N4 ultra-thin film was obtained at nitridation time nearly to 1hr with RF power of 300 W and N2 flow ratio at 1.2 sccm. Also, AFM image exhibited that the Ra roughness of Si3N4 is 0.39 nm. On the other hand, XRD phi-scan results shows that the InN crystals epitaxy growth with Si(111) substrate. Also, the InN crystals were detected single crystals growth with grown the crystal along the <0001> direction (c-axis). Cross-sectional SEM images show the nanocolumns with surface texturing of pyramid-like.

PS-TuP-18 Machine Learning Based Surrogate Models for Capacitively Coupled Plasmas
Lucan Yan (Applied Materials, Inc. (Currently with University of Pennsylvania)); Abhishek Verma, Sathya Ganta, Kallol Bera (Applied Materials, Inc.)

Plasma simulations are instrumental in understanding fundamental plasma behavior in plasma reactors used for etching and deposition processes in semiconductor manufacturing. However, these models are often slow to execute for large scale problems, limiting their applicability in design optimization and process control. To overcome these limitations, we recently developed a machine learning framework based on deep learning methods (LSTM and CNN-AE) to construct surrogate models at reduced computational cost while maintaining accuracy. Radio frequency (RF) plasma simulations have been performed using in-house code for Ar discharge. RF potential amplitude and phase differences at several harmonics are generated utilizing design of experiments and used to drive the plasma at different pressures. We used plasma characteristics from simulations, such as generated current I(t), plasma density n(x,t) with respect of voltage V(t) to train and test on datasets under different conditions (e.g., pressure). We obtained the optimal model through experiments with comprehensive search of different hyper-parameters and model architectures. In time series prediction of current I(t), we used n past values of two voltage channels and their time derivatives as inputs to a LSTM based model and showed that averaging prediction results given by models trained on different past values could lead to further accurate and smooth results. Furthermore, our model performs satisfactorily for the prediction of current I(t) even at a different operating frequency from the one that the model was trained on. Finally, we demonstrate optimal compression of spatial variables such as plasma density n(x,t) using CNN based autoencoder.

PS-TuP-19 Chemistry Reduction in Fluid Plasma Simulations
Ramanish Singh (Applied Materials Inc. (Currently with University of Minnesota)); Sathya Ganta, Abhishek Verma, Kallol Bera (Applied Materials, Inc.)

Plasma modeling for semiconductor process applications can help in gaining insights into various mechanisms occurring during the processes, playing a vital role in optimizing these processes. However, as the complexity of the plasma chemistry increases (large number of species and reactions), these models become prohibitively expensive in terms of computational cost. Such chemistries can be reduced by removing unimportant species and reactions to reduce computational cost with minimal loss in accuracy. To this end, we developed a general Python-based chemistry reduction methodology that utilizes fluid plasma simulation results for identifying important chemical pathways based on production rates of relevant species thereby generating multiple sets of reduced chemistries. We use PumpKin1, an open-source C++-based software for identifying important chemical reaction pathways given a chemistry with reaction rates and stoichiometric data from a plasma simulation. Once the multiple reduced chemistries are obtained, the chemistry reduction model assesses their accuracy, helping with the selection of the most suitable reduced chemistry for a particular application. We also developed an alternate technique for chemistry reduction involving direct species removal, wherein species and their associated reactions are removed based on their steady-state time-averaged densities relative to that of other similar species in the chemistry. We tested the two methodologies for chemistries belonging to different plasma processes such as plasma-enhanced chemical vapor deposition (PECVD), plasma-enhanced atomic layer deposition (PEALD), and conductor etch. We observe that chemistry reduction model based on species production rates removes a high number of unwanted reactions (~40-50%) and around 5-6 % of unimportant species while maintaining an error of less than 3% between the full chemistry and the reduced chemistry. In the direct species removal algorithm, although the number of species removed is marginally higher for a given error threshold, very few reactions get eliminated. We also investigated the effect of running both the algorithms in the two possible two-step sequences. The model based on species production rates followed by direct species removal results in a chemistry reduction with a good balance between model accuracy and computational cost optimization. However, the results can vary according to the chemistry used in the semiconductor process application.

  1. Markosyan, Aram H., et al. "PumpKin: A tool to find principal pathways in plasma chemical models." Comput. Phys. Commun. 185.10 (2014): 2697-2702.
Session Abstract Book
(311KB, Nov 18, 2022)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule