AVS 68 Session PS2+AS+SS-TuA: Plasma-Surface Interactions

Tuesday, November 8, 2022 2:20 PM in Room 315

Tuesday Afternoon

Session Abstract Book
(303KB, Nov 18, 2022)
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
2:20 PM PS2+AS+SS-TuA-1 Selective Mask Deposition Using SiCl4 Plasma for a Highly Selective Etching Process
Miyako Matsui (Hitachi Ltd.); Kenichi Kuwahara (Hitachi High-Tech Corp.)

The local cell size of logic devices has been continuously shrinking at a scaling rate of about 0.48 per 2 years. Extreme ultraviolet (EUV) lithography is a key technology for pitch scaling, though the pitch scaling rate has been slowing down since 2010. To boost the scaling, design technology co-optimization (DTCO) has been developed by using various kinds of self-aligned processes. However, process challenges exist for both technologies. Etching processes using thin EUV resists require extremely high selectivity and precise control of critical dimensions. Selective etchings for DTCO require high selectivity between layers having a similar material composition. In both cases, selective deposition for forming protective layers only on unetched materials can solve these process challenges and achieve extremely highly selective etchings.

In this study, we investigated a selective deposition process for forming protective layers on top of masks generated by a microwave-ECR etching system. A deposition layer was formed only on SiO2 masks without forming an unnecessary deposition layer on Si surfaces of etching area, such as bottoms of the patterns and isolated etching area. This process effectively achieves extremely highly selective etchings by selectively forming the protective layers prior to the etching procedure in the same chamber.

We suggested a process for selectively forming protective layers on a SiO2 without forming on a Si etching area by using a SiCl4/H2/Cl2 plasma. Top surfaces of the materials needed to be cleaned before forming the protective layer. Selectivity is thought to be provided by the difference in SiClx desorption processes. On the Si surface, adsorbed SiClx easily desorbed again by reacting with Cl generated from the plasma. On the other hand, adsorbed SiClx on SiO2 was thought to be more difficult to desorb by reacting with Cl due to Si-O having larger binding energy than Si-Si. After the deposition layer was selectively formed on the SiO2 mask, the layer was oxidized using an O2 plasma treatment to improve the etching resistance during the Si-etching. The O2 plasma treatment time was controlled not to prevent the Si substrate from being etched during the Si-etching. We found the optimum O/Si concentration of oxidized protective layer was about 0.4 from X-ray photoelectron spectra (XPS) analysis.

We also demonstrated the selective deposition to etch a line-and-space pattern with a SiO2 mask. In this process, selective deposition, oxidation, and Si etching were cyclically carried out. The extremely highly selective etching was achieved using the selective deposition without forming an unnecessary deposition on an isolated Si area.
3:00 PM PS2+AS+SS-TuA-3 On the Self-bias Voltages at Sintered Yttrium Oxyfluoride (Y-O-F) and Y2O3 During Plasma Irradiation and Their Etching Rates due to Ion Bombardment
Tetsuya Goto, Yoshinobu Shiba (Tohoku University); Akinobu Teramoto (Hiroshima University); Yukio Kishi (Nippon Yttrium Co., Ltd); Shigetoshi Sugawa (Tohoku University)

Yttrium oxyfluoride (Y-O-F) has been received much attention as the bulk and/or coating materials for functional components used in the plasma process chamber in semiconductor manufacturing, because chemical component stability of Y-O-F against various corrosive plasmas is better than that of widely used Y2O3 [1-3]. In addition to the chemical component stability, etching rate of these materials is also an important issue when particle problem and lifetime of the components are considered in industry. Previous report has shown that the etching rate of Y-O-F and Y2O3 due to plasma irradiation is almost the same level [2]. On the other hand, we reported that, the etching rates of the sintered Y-O-F due to the Ar ion beam irradiation (without plasma) was clearly smaller than that of Y2O3 [3]. We speculated that such tendency was caused by higher atomic number density of Y-O-F than that of Y2O3. Thus, the etching behavior of Y-O-F and Y2O3 was different between the cases of the ion beam irradiation and the plasma irradiation.

In this report, to understand the observed difference in more detail, we measured self-bias voltage Vdc of surfaces of Y-O-F and Y2O3 samples set on Si wafer in 13.56-MHz excited capacitive coupling Ar plasma. Here, Vdc, which is approximately an acceleration voltage of ions, is a good parameter to estimate ion bombardment energy at the sample surface. It was found that |Vdc| of Y2O3 was smaller than that of Y-O-F, suggesting that surface voltage condition was different under the normal setup of the samples for the plasma irradiation test. In this setup, etching rates of Y-O-F and Y2O3 due to Ar plasma irradiation were found to be almost the same.

Next, to equalize the surface voltages of Y2O3 and Y-O-F during the plasma irradiation as far as possible, we connected the sample surface and the Si wafer surface using electrically-conductive carbon tape. In this case, it was found that the etching rate of Y-O-F was smaller than that of Y2O3, showing the same behavior to the Ar ion beam etching experiment.

The results suggested that the intrinsic etching resistance of Y-O-F due to the ion bombardment is better than that of Y2O3. Also, the results showed the importance of how the sample was set in the plasma irradiation test to accurately estimate plasma resistance. Furthermore, it is considered that, in the actual plasma equipment, plasma resistance depends strongly on how the protect material was set or coated.

1. Y. Shiba et al, J. Vac. Sci. Technol. A, 35 (2017) 021405.

2. H. Ashizawa and K. Yoshida, Int J Appl Ceram Technol. (2021) 1.

3. T. Goto et al., J. Vac. Sci. Technol. A, 38 (2020) 043003.

View Supplemental Document (pdf)
3:40 PM BREAK
4:20 PM PS2+AS+SS-TuA-7 In-Plasma Photo-Assisted Etching of Si with Chlorine Aided by an External Vacuum Ultraviolet Source
Linfeng Du, Demetre J Economou, Vincent M Donnelly (University of Houston)

Photo-assisted etching (PAE) of p-type Si(100) was found to occur in a chlorine-containing, Faraday-shielded, inductively coupled plasma (ICP) with no substrate bias, attributed mainly to the vacuum ultraviolet (VUV) light generated by the plasma. Other causes for the very high etching rates can be ruled out, including ion bombardment-assisted etching and chemical etching by Cl atoms. Masked samples produced slow etching (111) facets and smooth surfaces. To provide additional evidence for the VUV-PAE mechanism, the substrate in a main Cl2/Ar ICP was subjected to extra VUV light (mainly 104 and 106 nm Ar emission) that was generated in an independently controlled, auxiliary Ar/He ICP in tandem with the main ICP. The ICPs were separated by a tungsten mesh and a bundle of high-aspect-ratio quartz tubes in a honeycomb configuration. There was no measurable perturbation of the main plasma by the auxiliary plasma. The etching rate was found to be enhanced by 11 to 51% with the additional VUV light provided by the auxiliary ICP. Absolute measurements of the auxiliary ICP photon flux at the surface were obtained in-situ by recording photoemission yields from a Au-coated sample in place of the Si substrate. Incredibly large etching yields of 90 to 240 Si atoms-per-photon were obtained. It is argued that etching is not a result of electron-hole pair formation, but is instead ascribed to a photo-catalytic chain reaction. No etching occurs with just Cl2(g) and the auxiliary VUV source, hence Cl atoms produced by the main ICP are required to produce the more highly chlorinated surface required for propagation of the chain reaction.

4:40 PM PS2+AS+SS-TuA-8 Etching of Silicon Dioxide (SiO2) Based on Remote Plasma-Based Functionalization and Electron Beam-Activation
Yudong Li, Kang-Yi Lin (University of Maryland, College Park); Christian Preischl, Christian Hermanns, Daniel Rhinow, Hans-Michael Solowan, Michael Budach, Hubertus Marbach, Klaus Edinger (Carl Zeiss SMT); Gottlieb Oehrlein (University of Maryland, College Park)

Electron-beam stimulated activation of SiO2 surfaces functionalized by remote plasma is demonstrated as a promising novel approach to achieve atomic scale etching. Compared to conventional plasma-based dry etching utilizing ion bombardment, electron-beam induced etching (EBIE) avoids ion-induced damage effects such as atomic displacement and atomic mixing. One issue with EBIE is the limited number of chemical precursors that is available to functionalize substrate surfaces without spontaneous etching.

In this work, we demonstrate a new approach that combines surface functionalization by a remote plasma source and energy deposition using an electron beam source to enable EBIE. A prototypical case is SiO2 etching using Ar/CF4/O2remote plasma generated precursors and electron-beam initiated removal of the chemically reacted surface layer by low-energy electron bombardment. We evaluated the parametric dependence of SiO2etching on remote plasma source and electron flood gun operating parameters, including radiofrequency (RF) source power, CF4/O2 flow composition, electron energy and emission current, respectively. Two prototypical processing cases were examined: one simultaneous and two sequential remote plasma treatment and electron beam irradiation. Material selective atomic scale etching of Si3N4 over SiO2 and poly-Si over SiO2 were demonstrated. Surface chemcial modification and etching were followed by in-situ ellipsometry measurements. Surface chemical changes were also characterized by x-ray photoelectron spectroscopy and revealed mechanistic insights for these processes.

We gratefully acknowledge the financial support of this work by ZEISS SMT GmbH.

5:00 PM PS2+AS+SS-TuA-9 Investigation of Plasma-Surface Interactions During Plasma Enhanced Atomic Layer Deposition (PE-ALD) of Silicon Nitride Using in Situ Surface Chemistry Measurements
Samuel Johnson (University of Texas at Austin); Jianping Zhao, Toshihiko Iwao, John Carroll, Chase Schlechte, Peter Ventzek (Tokyo Electron America); John Ekerdt (University of Texas at Austin)

A low temperature process for silicon dielectric thin film deposition is necessary for a wide range of semiconductor process applications, including as spacers in FinFET and self-aligned multiple patterning. Using plasma enhanced atomic layer deposition (PE-ALD) with radio frequency (RF) power to deposit silicon nitride films is very promising as it lowers the deposition temperature compared to thermal atomic layer deposition; however, direct plasma enhanced processes face a difficulty of guaranteeing the conformality of film thickness and film properties on high aspect ratio, 3-dimensional device structures. Ionized plasma species have a high degree of directionality, resulting in differences between top facing and sidewall surface coverage and properties. Ion beam incoherency, which can be tuned by varying the frequency and pressure, may be used to achieve more property conformity.

To explore the PE-ALD of silicon nitride, we built a radio frequency capacitively-coupled plasma (CCP) PE-ALD chamber.Our PE-ALD process involves one half cycle of dichlorosilane (DCS) gas for silicon layer adsorption, and another half cycle of an ammonia/argon plasma for nitridation. We used three different RF frequencies in deposition experiments on planar surfaces and a 3-dimensional trench-patterned surface in a silicon substrate. We have investigated the bulk film and surface chemistry using in situ X-ray photoelectron spectroscopy (XPS) and in situ Fourier-transform infrared spectroscopy (FTIR). With increasing frequency, the overall N-Hx bonding decreased (a higher percentage of nitrogen bonds were unsaturated), and the remaining N-Hx bonds became rich in N-H2 bonds compared to N-H bonds. Further details will be discussed on the correlations between the plasma characteristics (ion energy and angle, neutral flux, etc.), the in situ surface chemistry measurements, and the film properties.

View Supplemental Document (pdf)
5:20 PM PS2+AS+SS-TuA-10 Differences in Sidewall Chemistry for SiO2 and Si3N4 after Ar/HFC or Ar/FC Plasma Processing Using High Aspect Ratio Structures
Sang-Jin Chung (University of Maryland, College Park); Pingshan Luan, Andrew Metz, Minjoon Park (TEL Technology Center, America, LLC, USA); Gottlieb S. Oehrlein (University of Maryland, College Park)

State-of-the-art 3-dimensional memory devices utilize high-aspect-ratio (HAR) heterogeneous structures where 2 or more materials are etched simultaneously during the fabrication process. Controlling the sidewall chemistry of stacked materials etched using the same fluorocarbon (FC) or hydrofluorocarbon (HFC) process is one of the key factors for yielding excellent etch profiles. Here, we use a horizontal trench gap structure [1,2] to simulate the interaction of neutral radicals produced by FC and HFC plasma with SiO2 and Si3N4 sidewalls in HAR structures for aspect ratios (AR) of up to 90. Oxide and nitride trench structures were simultaneously treated with Ar/FC or Ar/HFC plasma without RF bias and changes in film thickness were probed by ellipsometry as a function of AR. We find a variety of responses of the trench sidewalls for the remote plasma conditions, including both polymer deposition and spontaneous etching. These responses are dependent on the type of FC and HFC gases, the surfaces being exposed, and the position relative to the trench entrance. For HFC chemistry, oxide shows relatively little etching near the trench entrance followed by the presence of a very thin FC layer (<1 nm) for increasing AR. For the same conditions Si3N4 shows significantly more etching both near the entrance and for high AR deep in the structure. For FC plasma the behavior is different and polymer deposition is primarily seen for the high-AR trench structures. These observed behaviors are further correlated to the scalloping phenomenon commonly seen in layered ONO HAR structures. We will also discuss the surface responses with in-situ characterizations, including optical emission spectroscopy (OES), and FC deposition rate measurements seen for directly exposed SiO2 or Si3N4 surfaces.

[1] Zheng, L., Ling, L., Hua, X., Oehrlein, G. S. & Hudson, E. A. Studies of film deposition in fluorocarbon plasmas employing a small gap structure. J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 23, 634–642 (2005).

[2] Knoll, A. J., Pranda, A., Lee, H. & Oehrlein, G. S. Substrate temperature effect on migration behavior of fluorocarbon film precursors in high-aspect ratio structures. J. Vac. Sci. Technol. B. 37, 031802 (2019).

5:40 PM PS2+AS+SS-TuA-11 Significance of Plasma-Surface Interactions in the Etch Behavior of Low-k Materials
Adam Pranda, Steven Grzeskowiak, Yusuke Yoshida, Eric Liu, Yun Han, Peter Biolsi (TEL Technology Center, America, LLC); Ken Kobayashi, Nobuyuki Ikezawa (Tokyo Electron Miyagi Ltd.)
Low-k materials are an integral component in the advancement of semiconductor device performance by reducing parasitic capacitance and enabling faster device switching for a given thickness compared to traditional dielectric materials such as SiO2. With the advances in logic scaling, low-k materials are increasingly more prominent in the structures of advanced devices. For example, low-k materials are being targeted as the inner spacer ingate-all-around (GAA) nanosheet field effect transistors. Consequently, the integration of low-k materials requires that the etch behavior of these materials be well understood so that the device structures can be reliably and reproducibly fabricated. In this study, we used a high-density plasma reactor with benchmark CF4- and NF3-based process chemistries to etch low-k materials including SiCN, SiOCN, and SiBCN along with reference materials including Si, SiO2, and SiN. We utilized a characterization suite consisting of optical emission spectroscopy (OES), mass spectroscopy (MS), spectroscopic ellipsometry (SE), x-ray photoelectron spectroscopy (XPS), and attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) to understand the relationships between the plasma conditions (OES, MS), the evolution of the surface chemistry of the materials (XPS, ATR-FTIR), and the resulting etch behavior (SE). The etch behavior of low-k materials under a given etch process is vital for establishing the etch selectivities in multilayer structures that are required to yield complex device geometries. For example, we found a correlation in the relative magnitude of OES trend for the CN emission at 387nm to the low-k material etch rate, which suggests that preferential sputtering of the nitrogen and possibly carbon from the sample is one of the main pathways for the etching of nitrogen-containing low-k materials. Identifying the underlying mechanisms for the etch behaviors of low-k materials will provide key guidance into the development of etch processes that integrate these materials in current and future device structures. View Supplemental Document (pdf)
6:00 PM PS2+AS+SS-TuA-12 Low Temperature Superpermeability in Metal Foils Exposed to Hydrogen Plasma
Chao Li, Adam Job (Colorado School of Mines); Masashi Shimada, Thomas Fuerst (Idaho National Laboratory); Douglas Way, Colin Wolden (Colorado School of Mines)

The hydrogen isotopes tritium (T) and deuterium (D) are leading fuels for use in future fusion reactors. In these reactors they combine to form He and an energetic neutron in a high density, magnetically confined plasma. Metal foil pumps are a technology to extract the unreacted isotopes from the He ash in the plasma exhaust and return them to the plasma in a process known as direct internal recycling. Hydrogen separation membranes typically work through a dissociative adsorption - atomic diffusion - recombinative desorption mechanism that relies on a hydrogen partial pressure gradient. Unlike molecular hydrogen, energetic H atoms and ions can bypass the dissociation/absorption step and directly enter the metal. This leads to hydrogen fluxes that can be orders of magnitude greater than expected from Sievert’s law, a condition described as superpermeability. In this study, we investigate the superpermeability of various metal foils (PdAg, V and α-Fe) exposed to inductively coupled H2 plasma operating at low temperature (50-200 oC) and the results are compared to a fundamental model accounting for individual steps in hydrogen permeation. Systematic variation of foil temperature and plasma parameters were used to illuminate the key rate limiting steps in the mechanism. Interfacial treatments including oxidation, plasma cleaning, and the deposition of nanoscale interfacial layers were used to modify surfaces to improve the hydrogen permeation of metal foils. Auger and AFM characterization were used to study the surface elemental composition and metal surface roughness, respectively. Both H2 and D2 plasmas were employed to better understand isotope effects. V foils with symmetric Pd deposition on both sides showed comparable permeation performance to PdAg foil with hydrogen flux exceeding 1020 m-2 s-1. On the other hand, plain α-Fe foils showed very stable, but lower (1019 m-2 s-1) hydrogen flux performance in repeated tests. Our findings suggest that V and α-Fe with appropriate surface modification are promising candidates for use as metal foil pumps for direct internal recycling of DT fuels in future fusion reactors.

Session Abstract Book
(303KB, Nov 18, 2022)
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule