AVS 68 Session PS2+MS-TuM: Modelling of Plasmas and Plasma Driven Processes, and Machine Learning

Tuesday, November 8, 2022 8:00 AM in Room 315

Tuesday Morning

Session Abstract Book
(308KB, Nov 18, 2022)
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
8:00 AM PS2+MS-TuM-1 Molecular Dynamics Simulation of Oxide and Nitride Etching by CF3+ and Cl+
Charisse Marie Cagomoc, Shouichi Taira, Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi (Osaka University, Japan); Leonid Belau, Eric Hudson (Lam Research Corporation); Satoshi Hamaguchi (Osaka University, Japan)

High-aspect-ratio (HAR) etching is one of the key processes in the fabrication of 3D NAND flash memory devices. HAR etching requires the formation of an etched hole channel hole with a high aspect ratio through all deposited films such as silicon dioxide (SiO2) and silicon nitride (Si3N4). By having a high aspect ratio, more memory cells can be integrated into a single device, thereby increasing its storage capacity. However, the difficulty in creating a perfect hole profile increases as the aspect ratio increases. As such, this study aims to investigate and understand the surface reaction mechanisms involved in the HAR etching process. In this study, molecular dynamics (MD) simulations and ion beam experiments were used to analyze HAR etching processes, especially those of SiO2 and Si3N4 etching by energetic (up to 6keV) CF3+ ions as CF3+ ions are typical reactive ions emitted from fluorocarbon plasma. Etching simulations of SiO2 and Si3N4 multilayers (ONO) used in 3D NAND by incident CF3+ ions were also performed. The results showed that, at low energies, the etch rates observed in the multilayer were similar to those of individual materials, as expected. However, it was observed that the change in the rate occurred before the etching passed through the initial height of the interface. At high energies, a mixing of the oxide and nitride layers became significant and, when the thickness of each layer was thinner than the ion penetration depth, a single etch rate was observed throughout the multilayers. We also performed SiO2 and Si3N4 etching simulations with simultaneous injections of energetic Cl+ and CF3+ ions, which represents ion irradiation from Cl containing fluorocarbon plasmas. The interplay between Cl+ and CF3+ ions for the etching reactions will be discussed.

View Supplemental Document (pdf)
8:20 AM PS2+MS-TuM-2 Molecular Dynamics Simulations of High-Energy Ion Bombardment ALE Processes for Smooth Surfaces
Joseph Vella (Princeton Plasma Physics Laboratory); David Humbird (DWH Consulting); David Graves (Princeton Plasma Physics Laboratory, Department of Chemical and Biological Engineering Princeton University)

Atomic-layer etching (ALE) has emerged as a promising technology in the semiconductor industry in order to continue to shrink the size of advanced electronics. ALE differs from other etching processes in that a substrate is etched by two steps: a surface modification step and a removal step. These steps are separated either in space or in time.[1] Both of the ALE steps have several parameters that can be varied which may lead to different results. For example, recently it has been demonstrated in a silicon-chlorine-argon ALE cycle, that a removal step with higher argon ion energies (>500 eV) and shorter exposure times (~0.2 s) yield smoother surfaces when compared to a corresponding cycle with lower ion energies (<80 eV) and longer exposure times (~5 s).[2] The reason for these results is not entirely understood. In this work, we use classical molecular dynamics (MD) in order to provide atomistic-level insight behind this phenomenon. We have previously demonstrated the ability of classical MD to accurately reproduce experimental silicon-chlorine-argon ALE data.[3] However, in the aforementioned work we focused on a bombardment step with argon ion energies only up to 100 eV. In this work, we extend our simulations to include higher argon ion energies and use the results to explain why high energy ion bombardment with short exposure times yield smooth surfaces.

References

[1] K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, and R. A. Gottscho, “Overview of Atomic Layer Etching in the Semiconductor Industry”, J. Vac. Sci. Technol. A,2015, 33, 020802.

[2] K. J. Kanarik, S. Tan, W. Yang, I. L. Berry, Y. Pan, and R. A. Gottscho, “Universal Scaling Relationships for Atomic Layer Etching”, J. Vac. Sci. Technol. A,2021, 39, 010401.

[3] J. R. Vella, D. Humbird, and D. B. Graves, “Molecular Dynamics Study of Silicon Atomic Layer Etching by Chlorine Gas and Argon Ions”, J. Vac. Sci. Technol. B,2022, 40, 023205.

8:40 AM PS2+MS-TuM-3 Analysis of RF Sheath Dynamics in Dual-Frequency Capacitively Coupled Ar Plasmas Using a Two-Dimensional Particle-in-Cell Simulation
Ji Hyun Shin, Hwan Ho Kim, Cheol Woong Kim, Seo I Choi, Hae June Lee (Pusan National University)

With dual-frequency (DF) driving, ions' energy and flux can be controlled by high-frequency (HF) and low-frequency (LF) voltage waveforms, of which periods are faster and slower than ion transit time, respectively. DF capacitively coupled plasmas (CCP) are widely used in semiconductor etching processes because of effective ion energy control. In addition, various types of electrode structures are requested to improve the uniformity of the ion flux to the wafer. In this presentation, we report the effect of the electrode and the dielectric structure under DF driving conditions. The large computation load in two-dimensional particle-in-cell (PIC) DF CCP simulation was overcome using a parallelization with a graphics processing unit (GPU). We observed the time-dependent sheath dynamics with the change in structure to find a way to improve the spatial uniformity of the ion flux. The low-frequency driving enhances the plasma density in the peripheral region by the inward ion transport and improves the spatial uniformity of ion flux on the wafer. The ion transport is also controlled by the dielectric structure.

View Supplemental Document (pdf)
9:00 AM PS2+MS-TuM-4 Incorporation of Match timing in a Global Plasma Circuit Model
Carl Smith (North Carolina State University); Sang-Ki Nam, Kiho Bae, Jang Yeob Lee (Samsung Mechatronics R&D Center); Steven Shannon (North Carolina State University)

Pulsed inductively coupled plasmas have been of interest over the past couple of decades due to reduced charge buildup [1], improved etch uniformity [2], and enhanced control over plasma chemistry [3]. Control over transients in ne and Te has been of keen interest in semiconductor processes where control over the duty cycle and pulse length have useful in controlling time averaged plasma parameters by continuously operating outside of steady state conditions.

One method of transient control that has been demonstrated is match timing, where the match is selectively tuned to deliver power at a specific point in the ON-Cycle, leveraging electrical impedance mismatch due to plasma transients to control the rate of power delivery and charged particle formation in the plasma. Control over dne/dt in an Argon discharge has been measured in a cylindrical inductively coupled reactor and compared against a Matlab based Global Plasma Circuit Model (GPCM) with good agreement. GPCM couples plasma parameters to an equivalent circuit model where match feedback has been accounted for. Control over power delivery at requested match points has been achieved through the incorporation of integrated match feedback and subsequent time dependent power delivery to the plasma. Modulation of the electron temperature spike in the early ON-Cycle has been observed in GPCM via match setpoint control. Matching model results with experimental results required particular attention to the effective area of the plasma (Aeff) and treatment of the plasma skin depth and will be presented. Center-point time-resolved electron densities were taken with a hairpin resonator probe while time-resolved power measurements from the matching network are taken with directional couplers placed at the matching network input port.

This work is supported by the North Carolina State University and the Samsung Mechatronics R&D Center.

9:20 AM PS2+MS-TuM-5 Nanosheet GAA Transistor Manufacturing Modeling Study: Build Fundamental Knowledge of SiGe to Si Selective Etching in ClF3 Gas
Yu-Hao Tsai, Mingmei Wang (TEL Technology Center, America, LLC)

The gate-all-around field-effect transistor (GAAFET) is a promising candidate for improving the performance of MOSFET beyond FinFET. Manufacturing the Si-SiGe stacked nanosheet GGAFET involves the highly selective SiGe and Si etching, in order to create the uniform inner spacers required for robust device performance. To achieve the ultrahigh selectivity, fundamental understandings of the origin of the selectivity in the process is crucial. We conduct an atomic-level investigation on how Ge atom impacts on the SiGe etching rate. The plasmaless dry etching process in ClF3 gas is considered in this study. We perform the density functional theory (DFT) to model the elementary reactions of etchant molecule fluorinating Si/Ge atom. Based on the modelling results, the activation energy (Ea) of single-F-transfer breaking Ge-Ge bond is 0.4 eV lower than the Si-Si bond, with the Ea of Si-Ge cases fall between. The overall smaller Ea suggests that the relatively active fluorination reaction enabled by Ge atom facilitates the selective etching. In addition, a unique double-F-transfer from ClF3 is identified, which simultaneously fluorinates two adjacent Ge atoms. The reaction enhances the selective etching with the drastically lowered Ea and more negative total energy change. We modelled different locations of Ge atom with respect to the fluorinated Si atom. The results show that the effect of Ge atom on lowering the Si fluorination Ea has a long-range nature. The calculation predicts a reduced Ea even when the Ge atom is on the second-nearest-neighbor site to the fluorinated Si atom. The finding implies that the Ge-induced Ea reduction can continuously assist the selective etching with a Ge-percentage as low as 6 at. %. Details of the surface chemical reactions and byproducts formation are discussed in the report.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS2+MS-TuM-10 Study on Spatiotemporal Evolution of Plasma Arcing in Low-Temperature Plasma
Si-jun Kim, Chul-hee Cho, Min-su Choi, Young-seok Lee, In-ho Seong, Wong-nyeong Jeong, Ye-bin You (Chungnam National University); Jang-jae Lee (Samsung Electronics Co., Inc.); Shin-jae You (Chungnam National University and Institute of Quantum Systems (IQS))
Since arcing is a ubiquitous phenomenon in high-voltage applied systems and marks damages on surfaces where arcing arises, it has attracted attention from research fields as well as industries. Its formation mechanisms however have not been fully understood yet, especially under low-temperature plasma environments. Recently, we have reported electrical and optical characteristics of arcing, especially the observation of light emission from arcing occurred early than arcing current initiation under low-temperature plasma and brief explanations about formation mechanisms of this prior light. In this research, to elucidate the mechanism deeply, we analyzed a spatiotemporal behavior of arcing with a high-speed camera with various conditions (radio frequency (rf) power and voltage of an arcing inducing probe (AIP)). We found dependency of surface and bulk emission regions on the conditions; those regions are characterized by light emission positions on the AIP. In this talk, an improved arcing formation mechanism based on spatiotemporal analysis is discussed.
11:20 AM PS2+MS-TuM-11 Instabilities in Low Pressure Magnetized Capacitively Coupled Plasmas
Sathya Ganta, Kallol Bera, Shahid Rauf (Applied Materials, Inc.); Igor Kaganovich (Princeton University Plasma Physics Lab); Dmytro Sydorenko (University of Alberta, Canada); Alexander Khrabov, Tasman Powis (Princeton University Plasma Physics Lab); Liang Xu (Ruhr Universität Bochum)

Low pressure (< 50 millitorr) capacitively coupled plasmas are commonly used for etching and deposition in the semiconductor industry. The plasma transport at low pressures is high causing significantly non-uniform steady state plasma density profiles. Static magnetic field is one option that can be used to improve plasma uniformity [1]. With the appropriate magnetic field profile, one can improve spatial uniformity in plasma density or adjust the plasma density profile to one that is desirable for the processing application. However, at high magnetic field intensity and low gas pressures, instabilities have been observed in plasmas which change the transport coefficients of electrons. This has been reported before by Boeuf [2]. In this paper, we examine such instabilities using a 2-dimensional particle-in-cell plasma simulation where plasma particle kinetics equations are coupled with the Poisson equation solver while incorporating a high intensity external static magnetic field. The code has been developed at the Princeton Plasma Propulsion Laboratory (PPPL). Using the code, Argon only capacitively coupled plasma simulations are performed at different process conditions (e.g., pressure, RF voltage), and for different intensities of external magnetic field. The simulation results for varying magnetic field intensities and for various processing conditions are analyzed to elucidate the physical reason behind the magnetic field induced plasma instability.

[1] Kallol Bera et al., “Influence of inhomogeneous magnetic field on the characteristics of very high frequency capacitively coupled plasmas”, Journal of Applied Physics, Volume 107, 053302 (2010).

[2] Jean-Pierre Boeuf, “Rotating Structures in Low Temperature Magnetized Plasmas – Insight from Particle Simulations”, Frontiers in Physics, Volume 1, Article 74, 12 December 2014, pp. 1-17.

11:40 AM PS2+MS-TuM-12 2D Hybrid Simulation of a CF4 Plasma in a DF CCP Reactor: Influence of Operating Conditions on Plasma Bulk Properties and Fluxes on the Wafer
Pierre Ducluzaux (LTM/CNRS-UGA, France; STmicroelectronics, France); Délia RISTOIU (STmicroelectronics, France); Cunge Gilles, Emilie Despiau-Pujo (LTM/CNRS-UGA, France)

Image sensors used in smartphones have seen a lot of technological development over the past decade aiming pixel size reduction to improve photography resolution. One of the main component of these image sensors are microlenses, which focus the light incident on the photodiode, thus improving the quantum efficiency of the sensor. The manufacture of resist microlenses necessitates an etching step in a fluorocarbon plasma, during which a semi-spherical resist pattern must be transferred into a subjacent resist layer. Due to the complex chemico-physical processes taking place during etching, it is difficult to predict the final 3D shape of the microlenses. Thus, a better understanding of the link between the process conditions, the plasma properties, and the final microlens shape can assist the development of such new technology.

In this paper, we propose to numerically investigate the influence of different tunable process parameters on the plasma properties and the fluxes incident on the wafer. The CF4 plasma is simulated using a 2D hybrid model (Hybrid Plasma Equipment Model) in a dual-frequency capacitively coupled plasma reactor. We first investigate the impact of pressure (10-100 mT), RF power (100-1500 W) and gaz flow (100-500 sccm) on plasma bulk properties such as the electron and ion densities, the radical composition and the electronic temperature. In agreement with experiments reported in literature, F, CF3, CF and CF2 are shown to be the main radicals in the plasma. Increasing the low-frequency (13,56 MHz) power increases the ion energy at the wafer, while increasing the high-frequency (40 MHz) power allows to control the plasma density and the ion flux at the wafer. The detailed impact of process parameters on the fluxes and energy distribution functions of species (radicals, ions) impacting the wafer will be discussed during the presentation.

12:00 PM PS2+MS-TuM-13 Investigation of N2 Plasma in Plasma Enhanced Atomic Layer Deposition of Silicon Nitride Using First Principles Calculation
Tsung-Hsuan Yang, Ting-Ya Wang, Gyeong Hwang (University of Texas at Austin); Peter Ventzek, Toshihiko Iwao, Jianping Zhao (Tokyo Electron America Inc.,); Kiyotaka Ishibashi (Tokyo Electron Ltd.)
Plasma-enhanced atomic layer deposition (PEALD) has been demonstrated to be a promising technique for the controlled growth of silicon nitride (SiN) thin films. The benefits of PEALD include excellent conformality, precise thickness control, and high quality at relatively low temperatures (<400oC). In this work, density functional theory (DFT) was applied to study the surface reaction mechanism when a Si-rich surface is exposed to N2 plasma. The reactive species in N2 plasma, atomic N, tends to react with a undercoordinated N or a tertiary amine by forming a N2-dimer. After subtle surface reconstruction, three types of N2-dimer (Si-N-N-Si, Si2-N-N-Si2, and Si2-N-N-Si2) were created, depending on the abundance of neighboring Si. These N2-dimers were relatively stable and can remain after N2 plasma half cycle. The reactions between silane (SiH4) and trisilylamine (TSA, (SiH3)3N) precursors and N2-dimers were then examined and compared. Results show that one H from the Si precursor will first migrate to one N in the N2-dimer, saturating the undercoordinated N and rendering a Si dangling bond in the precursor. The other N in the N2-dimer will then initiate a nucleophilic attack toward the Si dangling bond in the precursor and forms a Si-N bond. Meanwhile, the N-N in the N2-dimer is broken. The whole reaction is highly exothermic due to the destruction of weak N-N single bond and formation of strong Si-N bond. Most importantly, the Si precursor remains intact during the reaction, indicating that using a bulkier precursor with three silyl ligands such as TSA can guarantee a higher growth rate rather than silane. These findings agree well with previous experimental results.
Session Abstract Book
(308KB, Nov 18, 2022)
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule