AVS 68 Session PS1+AP+TF-WeM: Plasma Deposition and ALD Processes for Coatings and Thin Films

Wednesday, November 9, 2022 8:00 AM in Room 305

Wednesday Morning

Session Abstract Book
(321KB, Nov 18, 2022)
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
8:00 AM PS1+AP+TF-WeM-1 Hollow Cathode Enhanced Capacitively Coupled Plasmas in Ar / N2 / H2 Mixtures and Implications for Plasma Enhanced ALD
David Boris (U.S. Naval Research Laboratory); Michael Johnson (Syntek Technologies); Charles Eddy (ONR Global); Scott Walton (U.S. Naval Research Laboratory)

Plasma enhanced atomic layer deposition (PEALD) is a cyclic atomic layer deposition (ALD) process that incorporates plasma-generated species into one of the cycle sub-steps. The addition of plasma is advantageous as it generally provides unique gas-phase chemistries and a substantially reduced growth temperature compared to thermal approaches. However, the inclusion of plasma, coupled with the increasing variety of plasma sources used in PEALD, can make these systems challenging to understand and control. This work focuses on the use of plasma diagnostics to examine the plasma characteristics of a hollow cathode enhanced capacitively coupled (HC-CCP) plasma source, a type of plasma source that has seen increasing attention in recent years for PEALD. Ultraviolet to near-infrared (UV-NIR) spectroscopy as well as spatially resolved Langmuir probe and emissive probe measurements are employed to characterize an HC-CCP plasma source using nitrogen based gas chemistries typical of nitride PEALD processes. Spectroscopy is used to characterize the relative concentrations of important reactive and energetic neutral species generated in HC-CCP systems as a function of applied RF power, gas chemistry and pressure. In addition, the electron energy distribution function, electron temperature, plasma potential, and plasma density for the same process parameters are examined using an RF compensated Langmuir probe and emissive probe.These measurements indicated that electron temperature (Te), electron density (ne), and plasma potential (Vp) varied significantly over the operating conditions examined with Te varying from 1.5 to 8 eV, Vp varying from 30V to 90V, and ne varying between 1015 m-3 and low 1016 m-3.This wide range plasma conditions is mediated by a mode transition from a low Te, high ne mode of operation at low pressure (<100 mTorr) to a high Te, low ne mode at higher pressures (>100 mTorr).These operational modes appear analogous to the classical γ and α modes of traditional capacitively coupled plasmas.Atomic N and H densities also vary significantly over the operating conditions examined.

8:20 AM PS1+AP+TF-WeM-2 Chasing Oxygen Out of Nitrides Grown on PEALD and Thermal ALD
Bangzhi Liu (The Pennsylvania State University); Bruce Rayner (KJ Lesker)

It is not uncommon to see O content above 10% inside nitrides grown on both thermal and plasma ALD reactors. One typical example is TiN. Ti is extremely reactive and will combine with any O present inside the reactor. It has been reported that O can come from multiple sources [1], including o-ring seals, impurities inside UHP gases (Ar and N2), plasma tube, etc. By adding differential pumping to gate valve seal, chamber seal, and plasma tube seal on our Lesker 150LX system, and purifying Ar and N2 gases, the O level is reduced below 1% inside TiN film. By correlating TiN growth per cycle, ellipsometry thickness uniformity mapping, and sheet resistance to O level measured by XPS, we can monitor O level inside the reactor precisely and verify the ultra-high purity (UHP) condition of the chamber. By maintaining UHP condition, extreme high purity AlN and BN have been prepared. Surprisingly, extremely low-level O (barely detectable by XPS) was found inside ZrN prepared on our thermal ALD reactor, Lesker 150LE due to a special design of the chamber. This opens a door to high purity nitrides on thermal ALD reactor. In this talk, experimental data will be presented to show the interaction between O level inside ALD nitrides and system design.Practical engineering controls enabling UHP nitrides will be discussed.

[1] Ultrahigh purity conditions for nitride growth with low oxygen content by plasma enhanced atomic layer deposition, J. Vac. Sci. Technol. A 38, 062408 (2020); Gilbert B. Rayner, Noel O’Toole, Jeffrey Shallenberger, et al.

View Supplemental Document (pdf)
8:40 AM PS1+AP+TF-WeM-3 Area-Selective Deposition: A Bottom-Up Approach to Nanoelectronics Fabrication
Silvia Armini (IMEC)

Area-selective deposition (ASD)-driven processes recently gained a lot of attention from the microelectronics industry as a potential solution for the issues associated with top-down pattern formation at the nanoscale.

The first part of this talk is focused on the ASD of TiO2 and Ru deposited by atomic layer deposition (ALD). In this respect, as an ALD inhibition route, we have been investigating both hydrogen and halogen plasma surface modification of amorphous carbon materials (non-growth area) and methyl-terminated monomolecular organic films on SiO2 (non-growth area) substrates. On one side, on a-C non-growth area, we examined the possibility of combining two defect-reduction strategies by employing low power Cl2 or CF4 plasmas for both surface functionalization/re-functionalization and for removal of nuclei.

The second part of this talk is focused on selective metal passivation films for Dielectric on Dielectric deposition. We review our current understanding of the relationship between structure and properties of the inhibiting materials and the correspondent surface dependence of different ALD processes. Nucleation and growth behaviour of ALD on different surfaces functionalized by organic films will be analyzed, such as the interaction between ALD conditions (i.e. temperature, coreactants...) and the extent of decomposition and modification of the organic films.

9:20 AM PS1+AP+TF-WeM-5 The Role of Plasma in Plasma Enhanced Atomic Layer Epitaxy
Scott Walton, David Boris (US Naval Research Laboratory); Michael Johnson (Syntek Technologies, Inc.); Virginia Wheeler, Jeffrey Woodward, Samantha Rosenberg, Scooter Johnson (US Naval Research Laboratory); Karl Ludwig (Boston University); Jennifer Hite, Charles Eddy (US Naval Research Laboratory)

Plasma-enhanced atomic layer deposition (PE-ALD) is a low temperature, conformal, layer-by-layer deposition technique that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma.This approach generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas-phase chemistry to produce films of varying characteristics and has been successfully employed to grow epitaxial films.The plasma-based advantages come at the cost of a complex array of process variables that can drastically impact the resulting film properties.We employ plasma diagnostics to inform the choice of process conditions for PE-ALD systems including VUV-NIR spectroscopy, charged particle collectors near the substrate, and spatially resolved Langmuir probe measurements to characterize the plasma used in commercial and research PE-ALD tools.In particular, we assess the total ion flux reaching the substrate surface, spatial variation of plasma properties, and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions typically used to grow epitaxial nitride and oxide films. Changes in plasma parameters are then linked with changes in growth modes and characteristics of films including AlN, InN, TiO2 and Ga2O3. This work is partially supported by the Office of Naval Research and the Naval Research Laboratory base program.

9:40 AM PS1+AP+TF-WeM-6 Plasma-Enhanced Atomic Layer Deposition of TiAlN Thin Films: A Novel Approach for MAX-phase Synthesis
Moses Nnaji (Georgia Institute of Technology, USA); Dale Hitchcock (Savannah River National Laboratory, USA); Eric Vogel (Georgia Institute of Technology, USA)

MAX-phases are a class of layered hexagonal carbides and nitrides that possess a hybrid metal-ceramic character with a desirable combination of mechanical properties.1 Consequently, many MAX-phase coatings are attractive for applications requiring extreme heat and corrosion resistance. However, conventional synthesis of MAX-phases such as Tin+1AlXn requires high-temperatures (800-1000 °C), limiting applications for temperature-sensitive materials.2 Plasma-enhanced atomic layer deposition (PEALD), however, may be capable of MAX-phase Tin+1AlXn synthesis at low temperatures (<600 °C) since it (1) uses forms of energy other than high temperature to achieve crystallization and (2) creates short elemental diffusion distances to lower the energy necessary for atomic migration and crystallization. Using gaseous precursors and plasma co-reactants, the layer-by-layer growth mode of PEALD boasts conformal deposition of various carbides and nitrides at low temperatures (150-300 °C).3 However, PEALD has yet to be demonstrated for synthesis of MAX-phase thin films.

By characterizing the stoichiometry and crystallinity of PEALD-grown films, our work explores the viability of PEALD for synthesis of MAX-phase Ti2AlN. In this work, tetrakis(dimethylamido)titanium (TDMAT) and trimethylaluminum (TMA) precursors with N2/H2 plasmas are used for deposition of composite TiAlN films on SiO2/Si and Al2O3 substrates, which are then annealed under various conditions. Our work shows that the Ti:Al ratio can be easily controlled by the TDMAT:TMA cycle ratio, while higher growth temperatures and H2 plasma induce N-deficient TiN and Al layers for a stoichiometry closer to the composition of Ti2AlN. Furthermore, annealing of PEALD-grown TiAlN is observed to induce desorption of Al and N, a mechanism seen in conventionally sputtered Ti/AlN composites that leads to formation of MAX-phase Ti2AlN. The effects of contamination and as-deposited crystallinity on the final composition and structure of annealed PEALD-grown TiAlN are investigated.

[1]Barsoum, M. W. et al. (2000). Prog. Solid. State Chem., 28(1-4), 201-281.

[2]Eklund, P. et al. (2010). Thin Solid Films, 518(8), 1851-1878.

[3]Profijt, H. B. et al. (2011). J. Vac. Sci. Technol. A: Vac. Surf. Films, 29(5), 050801.

Acknowledgments: This work was supported by Battelle Savannah River Alliance, LLC under Contract No. 89303321CEM000080 with the U.S. Department of Energy and performed in part at the Georgia Tech Institute for Electronics and Nanotechnology, a member of the National Nanotechnology Coordinated Infrastructure, which is supported by the National Science Foundation (Grant ECCS-1542174).
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS1+AP+TF-WeM-10 AVS Graduate Research Awardee Talk: Temporal Evolution of Densities and Temperatures in Sub-Microsecond Pulsed Microwave Discharges
Dhruval Patel, Tag Choi, Helen Swearingen (University of Illinois at Urbana Champaign); Daniel Jacobson (Lyten); Jacob Bruehl (University of Illinois at Urbana Champaign); Bruce Gittleman, Michael Stowell (Lyten); Eitan Barlaz, Scott Dubowsky, David Ruzic (University of Illinois at Urbana Champaign)

Material synthesis in plasma reactors have been long investigated as an alternative to more traditional thermochemical reactors. The promise of efficient reaction channels has extended the use of plasmas in synthesis of more exotic materials such as graphene. Ever since its discovery, tremendous effort has been put towards realizing a scalable plasma-based graphene synthesis process. However, further optimization of these reactors is still required before a commercially viable process can be achieved.

Non-thermal pulsed plasma discharges can provide a gateway to a more efficient conversion of methane, primarily due to enhancement of vibrational excitations. In such discharges, the electron, vibrational and rotational temperatures can vary over the duration of the pulse and must be accounted for to accurately describe the reaction kinetics. This work aims to obtain these temperatures along with densities of hydrogen in the methane discharge over the course of the pulses to both characterize the plasma and estimate methane cracking efficiency. We also consider the hydrogen radical density as this can be used as a proxy to understand the reactions mechanisms. To do so, time gated emission spectrums for the Ar-CH4 plasmas are obtained and analyzed. The electron temperature is inferred from various Ar2p10-1 – Ar1s5,2 transitions spanning 690 nm - 815 nm. The vibrational and the rotational lines are determined by spectral fitting of C2 swan bands or CH A2D-2C bands. Hydrogen densities are obtained using actinometry by using trace amounts of Kr (<0.5%). A simple model is used to deduce the approximate densities of hydrogen radicals by comparing the emission of Hb to Kr 3p9,8,6 (431.96 nm, 431.86 nm, and 427.4 nm) and Ha to Kr 2p3,2 (557.03nm and 587.09 nm). Combined, these measurements will provide valuable insight into the characteristics and breakdown efficiency of methane containing pulsed plasmas.

11:20 AM PS1+AP+TF-WeM-11 In situ Atomic Layer Doping Coupled Low-temperature Epitaxial Growth of β-Ga2O3 Films via Plasma-enhanced ALD
Saidjafarzoda Ilhom, Adnan Mohammad, John Grasso (University of Connecticut); Ali Kemal Okyay (Stanford University); Brian Willis, Necmi Biyikli (University of Connecticut)

Wide bandgap (WBG) semiconductors, such as GaN and SiC make up the key components of high-power high-frequency electronic devices, such as, smart power grids, 5th generation (5G) technology, and electric transportation systems. However, production complexity and high cost of these materials make such technologies less available. Gallium oxide (Ga2O3) is an emerging ultra-WBG semiconductor attracting great attention due to its superior electrical properties (larger bandgap ~4.9 eV, high dielectric constant 6-8 MV/cm). Additionally, to compete against the mature WBG materials which are grown typically at 700 – 1000 °C, a substantially lower temperature deposition technique for crystalline Ga2O3 is of particular importance for its integration with temperature-sensitive substrates or device structures.

In this study, we report on the low-temperature deposition of crystalline Ga2O3 films on Si, sapphire, and glass substrates via hollow-cathode plasma-assisted ALD. Films were grown using triethylgallium (TEG) and Ar/O2 plasma as metal precursor and oxygen co-reactant, respectively. Growth experiments have been performed at 240 °C substrate temperature and 50 W rf-power. Additionally, each unit AB-type ALD-cycle was followed by an in situ Ar-plasma annealing treatment, which consisted of Ar-plasma exposure for 20 seconds at 250 W rf-power. Furthermore, we have employed in situ atomic layer doping to n-type dope β-Ga2O3 films where tris-dimethylaminosilane (TDMAS) and tetrakis-dimethylaminotin(IV) (TDMASn) were utilized as the dopant precursors. The doping process was carried out via both supercycle (ABC-type ALD-cycle) and co-dosing methods. Both in situ and ex situ ellipsometry were employed to measure the thickness and optical properties of the films. The samples exhibited enhanced growth-per-cycle (GPC) values around 1.3 Å. X-ray diffraction (XRD) of the sample on sapphire revealed epitaxial Ga2O3 film signature with monoclinic β-phase. High-resolution transmission electron microscopy (HR-TEM) revealed the epitaxial relationship of the Ga2O3 layers grown on sapphire substrates. Further results from our ongoing structural and electrical characterizations will provide additional insight to overcome the challenges in achieving device quality undoped and doped β-Ga2O3 layers at low growth temperatures. Comparison of Si and Sn-doping strategies will be discussed, and if needed, ex-situ thermal annealing studies will be carried out for doping activation.

View Supplemental Document (pdf)
11:40 AM PS1+AP+TF-WeM-12 Plasma-Polymer Coating of Li-Metal Anodes for the Improvement of Li-Ion Batteries
Yannik Moryson, Hannah Hartmann, Svenja-Katharina Otto (Justus Liebig University Giessen); Xufei Fang (Technical University of Darmstadt); Marcus Rohnke, Jürgen Janek (Justus Liebig University Giessen)

The demand for batteries with higher energy densities for electro mobility and energy storage still raises the question for a working lithium metal anode in battery systems. The native passivation layer on top of Li-foils is not suited to enable stable cycling of corresponding electrochemical cells due to inhomogeneities and impurities in the layer composition. These inhomogeneities and impurities will result in locally varying current densities, which lead to dendrite growth and ultimately cell failure. Artificial protection layers are one promising option to overcome these drawbacks and enable the use of lithium anodes. Here, plasma polymer coatings are a quite promising approach.

In this study, we used a self-constructed inductively coupled radio frequency (rf) plasma reactor to clean Li-foils in a first step and after that performed plasma polymerization of 1.4 bis(trifluoromethyl)benzene to deposit a passivation layer on top of lithium metal anodes and characterized the layer with time-of-flight secondary ion mass spectrometry, x-ray photoelectron spectroscopy and scanning electron microscopy. The mechanical properties of the layer were examined by nanoindentation. The results were compared with a commercial Parylene HT polymer to verify the plasma polymerization process. Additionally, we performed 18O2 isotopic exchange experiments to determine the diffusion coefficients of oxygen in untreated Li-foils at room temperature D = 6.3 ± 0.5∙10−20 cm2/s and for oxygen in the plasma polymer at room temperature D = 3.0 ± 0.2∙10−23 cm2/s, which showed the protective nature of the coating. Symmetric cell tests with the coated Li electrodes showed a stable cycling behavior for over 300 h with overpotentials below 0.1 V. The electrochemical performance was clearly improved in contrast to cells with uncoated Li.

12:00 PM PS1+AP+TF-WeM-13 Fabrication and Evaluation of Composite Thin Film Membranes for Tritium Management in Future Fusion Plants
Adam Job, Chao Li (Colorado School of Mines); Thomas Fuerst, Chase Taylor (Idaho National Laboratory); J. Douglas Way, Colin Wolden (Colorado School of Mines)
The hydrogen isotopes tritium and deuterium are leading fuels for use in future fusion reactors. While deuterium is relatively abundant and can distilled from seawater, tritium is a radioactive compound with a short half-life that must be continuously generated and recovered from lithium-based breeder blankets. Vanadium (V)-based membranes are a promising candidate for tritium recovery due its high hydrogen permeability, thermal stability, and neutron tolerance. However, they require application of a catalytic layer to efficiently dissociate/recombine hydrogen and maintain a clean surface. Platinum group metals (Pt, Pd) are effective catalysts but rapidly alloy with V and lose activity. We are developing nanoscale hydrogen-permeable intermetallic diffusion barriers deposited by techniques such as reactive sputtering and atomic layer deposition. In this presentation we describe the plasma cleaning and preparation of these foils as well as the deposition of ceramic nitrides and oxides barrier layers and catalysts layers. We developed a composite structure to efficiently evaluate the hydrogen permeability of these thin films, whose properties can be orders of magnitude different than their bulk counterparts. The performance and stability of these V-based nanocomposite hydrogen membranes was evaluated as a function of temperature and hydrogen pressure. The differences between successful and unsuccessful membranes is assessed and understood through the use of materials characterization including X-ray diffraction and compositional depth profiling using TOF-SIMS and Auger spectroscopy.
Session Abstract Book
(321KB, Nov 18, 2022)
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule