AVS 68 Session PS1+AP-WeA: Plasma Assisted Atomic Layer Etching

Wednesday, November 9, 2022 2:20 PM in Room 305

Wednesday Afternoon

Session Abstract Book
(330KB, Nov 18, 2022)
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
2:20 PM PS1+AP-WeA-1 Mechanism of Isotropic Plasma Atomic Layer Etching Using Hexafluoroacetylacetone and H2 Plasma
Nicholas J. Chittock, Joost F.W Maas, Marc J.M. Merkx, Wilhelmus M.M. Kessels (Eindhoven University of Technology, The Netherlands); Harm C.M. Knoops (Oxford Instruments Plasma Technology); Adriaan J.M. Mackus (Eindhoven University of Technology, The Netherlands)

Interest in atomic scale processing techniques, such as atomic layer etching (ALE), is growing rapidly as accurate thickness control and low damage etching have become necessities in IC fabrication. In this work the mechanism for isotropic plasma ALE of Al2O3 using the diketone species hexafluoroacetylacetone (Hhfac) and H2 plasma is investigated. This alternative process for isotropic plasma ALE could provide improved selectivity for etching metal oxides over Si-based materials.1,2

ALE behavior was confirmed using Al2O3 planar substrates. Etching is only observed when alternating between Hhfac and H2 plasma pulses, with the EPC determined to be 1.7 Å/cycle at 350 ˚C, which is higher than the EPC observed for Hacac/O2 plasma ALE of Al2O3.2 No thickness change was observed on thermally grown SiO2 with this ALE chemistry, indicating near perfect selectivity between Al2O3 and SiO2.

This process exhibits ALE characteristics; however, the self-limiting mechanism is not fully understood. To investigate the reaction mechanism Fourier transform infrared spectroscopy (FTIR) was employed to observe the different binding configurations of hfac on an Al2O3 surface. Two configurations can be determined from FTIR: chelate where both oxygen atoms bind to the same metal atom, or monodentate when only one oxygen is bound.3 Chelate is the preferred binding configuration; however, for low dose times the majority of measured hfac surface species are in monodentate configuration. It was concluded that chelate species are lost from the surface as volatile metal-diketonate etch products. As dosing continues, the monodentate coverage increases and inhibits chelate binding, and thus etching, which explains the self-limiting behavior. A H2 plasma pulse in half-cycle B removes the adsorbed hfac, resetting the surface for the next ALE cycle.

In summary the two binding configurations of hfac adsorbates play important and distinct roles: the chelate configuration generates volatile etch products, while the monodentate configuration ensures that the etch is self-limiting. Together these reactions, combined with surface cleaning during half-cycle B, yield an ALE process for Al2O3 with excellent selectivity to SiO2 and a high level of etch control.

  1. Elham Mohimi, ECS J. Solid State Sci. Technol. 7 P491, 2018
  2. A. Mameli, ACS Appl. Mater. Interfaces, 2018, 10
  3. M Merkx, Chem. Mater. 2020, 32, 8
View Supplemental Document (pdf)
2:40 PM PS1+AP-WeA-2 AVS Dorothy M. and Earl S. Hoffman Awardee Talk: On the Chemical and Physical Mechanisms of Etch Product Volatilization in Plasma Enhanced Atomic Layer Etch of Silicon Nitride with Hydrofluorocarbons
Erik Cheng, Gyeong Hwang (The University of Texas at Austin); Peter Ventzek, Zhiying Chen, Shyam Sridhar (Tokyo Electron America)
Low energy ion bombardment is a key part of plasma enhanced atomic layer etch (PEALE) which may induce surface reactions unlikely to occur under thermal conditions, moving such systems far from equilibrium. These processes, however, are uniquely challenging to study both experimentally and computationally, due the critical importance of both complex, non-equilibrium chemistry at atomic length- and timescales, as well as more long-range and sustained physical effects that influence the system beyond individual reactive sites.

We previously presented a novel simulation approach and used it to uncover underlying mechanisms in the ion bombardment step of PEALE of silicon nitride (SiN) with hydrofluorocarbons (HFCs). The key role of two key HFCs, CF4 and CH3F, via formation of a physisorbed monolayer, their ion-induced decomposition, and the resulting differences in etch outcomes were discussed. The details of the formation of volatile etch products, however, were less understood and are the focus of this talk.

We first note that we saw no evidence of thermal volatilization. Ar ion impacts can directly cleave bonds both in the HFC precursors as well as the underlying SiN and critically perform three key functions: 1) generating active species through HFC decomposition, 2) generating active sites on the SiN surface, and 3) enabling structural rearrangement at and near the SiN surface. Through the accumulation of damage, the Si-N bonds keeping Si atoms bound to the surface are broken over time, with coordination defects often being passivated by C, H, and F. Through this, the Si becomes more weakly bound to the surface and is thus more likely to be etched. However, many volatile fragments contain at least one N atom, suggesting that it is not always necessary to cleave all Si-N bonds for volatilization to occur. This could be associated with fragment production essentially always resulting from effects of an ion impact. For fragmentation induced by a direct Ar impact, these tend to be highly chaotic processes characterized by sputtering-like behavior, often generating multiple reactive fragments in one area that combine to form a free fragment. These events may allow a variety of surface conditions to yield etch products. We also see evidence of “secondary events” where ion impact releases a small reactive ion (for example, Si-F -> Si + F) which can impact a weakly bound moiety elsewhere on the surface to form a free fragment. These events appear to be more sensitive to surface conditions. Understanding these two key volatilization pathways may be the key to unlocking far finer levels of control over the PEALE process than currently achievable.
3:00 PM PS1+AP-WeA-3 Use of Atomic Layer Etching Techniques in Todays and Tomorrows Industry
Dominik Metzler (IBM Research Division, Albany, NY)

Atomic Layer Etching and Quasi Atomic Layer Etching processes have gained a lot of traction and application throughout the last years. However, not everything described as ALE is a true atomic layer process in its strict definition. We will first discuss the use and definition of various cyclic process and compare to pulsed processes, the process regime of which can differ significantly. In a more general consideration, the approach of reactant control, as the core of ALE, is additionally employed in various other applications that are not QALE. Subsequently, we will highlight and describe specific use cases for which such processes have been explored and implemented at IBM Research in Albany. Fin patterning is a major example of QALE yielding major improvements in profile and CD control during RIE. Additionally, QALE allowed enhanced selectivity during self-aligned contact etches, crucial to its success. For S/D recess possible benefits are expected in a similar fashion. While many of the applications focus on the FEOL, there are also applications of cyclic processes, or QALE-like, in the BEOL, such as Photoresist protection and CD control.

3:40 PM BREAK
4:20 PM PS1+AP-WeA-7 Approaches to Improve the SiO2 to SiNx Etch Selectivity during ALE and RIE
Xue Wang (Colorado School of Mines); Ryan Gasvoda, Prabhat Kumar, Eric Hudson (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)
In plasma etching, the etch selectivity of SiO2 relative to SiNx can be controlled by tuning process parameters such as the fluorocarbon or hydrofluorocarbon feed gas, dilution with other gases such as H2 or O2, and by tuning the ion flux and the ion energy distribution. Previously, we showed that selective functionalization of plasma-deposited SiO2 or SiNx with hydrocarbons can be used to enhance etch selectivity. Building on our previous work, using in situ optical surface diagnostics, we will show that this approach can be extended to atmosphere-exposed and partially-etched SiO2 and SiNx surface during atomic layer etching (ALE). In addition, we have also studied the mechanism for the enhancement in etch selectivity for SiO2 over SiNx by increasing the substrate temperature during reactive ion etching (RIE).
In our previous work, we demonstrated that pre-functionalization of a pristine plasma-deposited SiNx surface with benzaldehyde accelerates the formation of a graphitic hydrofluorocarbon layer during C4F6/Ar plasma-assisted ALE, and lowers the loss of SiNx prior to complete etch stop. However, after exposure to atmosphere, SiNx forms a SiOxNy layer with surface –OH groups similar to SiO2. On the other hand, partially-etched SiO2 and SiNx surfaces have a residual CFx layer, which is very reactive with most hydrocarbon molecules. Herein, using in situ ATR-FTIR spectroscopy, we demonstrate this pre-functionalization approach can be extended to technologically relevant surfaces by removing the surface SiOxNy layer in one ALE cycle while minimizing the CFx residue on the SiNx and SiO2 surfaces: this allows for selective benzaldehyde attachment to the SiNx surface. In subsequent ALE cycles, we show that the selectivity for benzaldehyde attachment to SiNx over SiO2 reduces with the slow accumulation of a carbon-rich residue on both surfaces. Using in situ 4-wavelength ellipsometry, we show that benzaldehyde dosing after the 1st ALE cycle reduces the amount of SiNx etched and increases the etch selectivity from 1.7 to 2.1 after 20 ALE cycles. If we introduce a second benzaldehyde dose after the 5th ALE cycle, which is prior to significant CFx accumulation on SiO2, the selectivity further improved from 2.1 to 3.4.
Finally, we also studied the mechanism for the substrate temperature dependence on the etch selectivity of SiO2 to SiNx during C4F6/Ar plasma RIE. Our in situ optical diagnostics show that increasing the substrate temperature during RIE of SiNx from 70 to 120 °C accelerates the formation of a graphitic CFx layer, while there was no noticeable effect over the same temperature range during RIE of SiO2.
4:40 PM PS1+AP-WeA-8 Plasma Atomic Layer Etching of Molybdenum with Fluorocarbon and Hydrofluorocarbon
Yongjae Kim, Hojin Kang, Heeju Ha, Heeyeop Chae (Sungkyunkwan University, Korea)

Copper is widely used for interconnect lines in semiconductor devices, and the resistivity of copper is rapidly increasing as the critical dimension shrinks below 30nm. [1] Dual damascene process based on copper have reached limits due to the continuous shrinkage of critical dimensions. Semi damascene process is an alternative technique, and metal is patterned by reactive ion etching (RIE). [2] Conventional plasma etching process has limitations in uniformity, surface roughness. Atomic layer etching (ALE) process has advantages of good uniformity, smoother surfaces, and precise thickness control than conventional reactive ion etching process.

In this work, ALE process was performed for molybdenum in an inductively coupled plasma (ICP) reactor. The ALE process consists of two steps: surface modification with fluorine contained plasma and ion bombardment with Ar plasma. In the first step, the fluorocarbon layers are deposited on molybdenum surface with C4F8 or CHF3 plasmas. In the second step, the modified layers are etched with ions from Ar plasma. Molybdenum ALE process was performed with changing Ar plasma bias voltage at 50~350V, and the ALE window that constant etch rate region was confirmed with bias voltage of 100~230V. Etch rate of cobalt was self-limited at the Ar plasma time of 3 minutes. The etch rate of molybdenum controlled below 1 nm/cycle. The surface roughness was compared RIE process and ALE process, and the surface roughness of the ALE process was lower than that of the RIE process.

References

[1] S. Decoster, E. Camerotto, G. Murdoch, S. Kundu, Q. T. Le, Z. Tokei, G. Jurczak, and F. Lazzarino, J. Vac. Sci. Technol. B 40, 032802 (2022)

Keywords: Atomic layer etching, Molybdenum etching

5:00 PM PS1+AP-WeA-9 Atomic Layer Etching of Si by Surface Chlorination, Ar or He Sputtering
Tao Li, Eric Miller (IBM Research Division, Albany, NY); Stefan Schmitz, Phil Friddle, Wenbing Yang (Lam Research Corporation)

Anisotropic etching of silicon with active fin heights of 45nm or greater is critical for fin patterning and continuous CMOS scaling. Tight control of fin CD and taper is critical for the device, in particular channel control. In addition, uniform etching of iso/dense features and accurate pattern transfer across various fin dimensions require Si etching to enter atomic level control. In this IBM and Lam collaborative study, we evaluated the impact of He and Ar sputter as well as silicon nitride and silicon oxide hard mask (HM) on selectivity and Si profile. We find that nitride HM tends to be less resilient than oxide HM as sputter energy increases. For both He and Ar ALE, we explored the influence of ion-angle-scattering on fin profile as well as various surface protection methods to address ion-angle-scattering side-effect. We will also examine Ar ALE at low bias.

5:20 PM PS1+AP-WeA-10 Real-Time Monitoring of Atomic Layer Etching in Cl2/Ar Pulsed Gas, Pulsed Power Plasmas by Optical Emission Spectroscopy
Qinzhen Hao, Vincent Donnelly (University of Houston); Sang Ki Nam, Hyong Seo Yoon (Samsung Electronics)

Optical emission spectroscopy was used as a real-time monitor of atomic layer etching (ALE) of Si in an Ar inductively-coupled (ICP) plasma. Pulses of Cl2 gas were repetitively injected into a continuous flow of Ar, followed by simultaneous ignition of the ICP and application of substrate rf bias power (either continuous, or rapidly modulated) for 10s. Optical emissions in the UV from Si, SiCl, SiCl2, SiCl3 and Ar+, and in the far-red from Ar and Cl were monitored as a function of time during the bias period, as well in the ICP after the bias power was extinguished. By monitoring emission along a line parallel and close to the substrate surface, and operating at high Ar flow rates, and from an analysis of the time dependencies of the decays of emissions during the bias period, it is argued that emissions are mainly from the primary products sputtered by the energetic Ar ions. Products decay with different, non-exponential time signatures. Cl rapidly decays to undetectable levels early in the bias period, indicating some sputtering of Cl (and/or Cl2) from the top surface, while Si emission decays more slowly to a nearly constant level, indicative of physical sputtering after sub-surface Cl is nearly depleted. SiCl2 emissions decay to nearly undetectable levels toward the end of the bias period. SiCl emission follows a decay profile between that of Si and SiCl2. Relative emission intensities increased strongly with increasing bias power (self-bias voltages of -30 to -200 VDC), but only moderately with Cl2 pulse durations (1 to 16s). The ALE products are depleted in SiCl2 and especially SiCl3 relative to etching with constant Cl2/Ar flows and continuous ICP and bias powers.

5:40 PM PS1+AP-WeA-11 Self-Limited Cyclic Etching of Copper Thin Films in Hydrogen and Argon Plasmas for Copper Hybrid Bonding
Qi Wang, Christopher Netzband, Gabriel Gibney, Sergey Voronin, Sangcheol Han, Sitaram Arkalgud, Peter Biolsi (TEL Technology Center, America, LLC); Christophe Vallee (College of Nanoscale Science and Engineering, SUNY Polytechnic Institute)

Cu/Cu hybrid bonding for 3D integration relies on nanometer level control of copper pad recess depth to create proper and reliable electrical contacts. While the recess can be achieved through chemical mechanical planarization (CMP), as feature size shrinks both Cu and dielectric polish at the same rate, resulting in planar contact pads which severely limits the process window. In this work, we report the atomic layer etch (ALE) of Cu with high selectivity to silicon oxide to supplement CMP for tight recess control across the wafer. Each cycle consists of a H2 plasma modification step followed by directional removal of the hydrogenated layer by low energetic Ar ions. Precise ion energy control was achieved by applying a DC pulse waveform at a given voltage (energy) to the target (1µs ON time and 2µs OFF time per period). In situ ellipsometry measurements reveal self-limiting removal of material at an etch rate of ∼3–4 Å/cycle for the first ALE cycle. As the number of the ALE cycles increases, the amount of material etched per cycle decreases, which is due to the modification of the initial surface roughness condition. After modification of the initial surface, the Cu film is less sensitive to the H2 plasma and leads to decrease in etch amount per cycle. This can be recovered by physical sputtering of the surface by energetic Ar ions or by introduction of an additional modification step prior to each ALE cycle, resulting in process linearity restoration. Real time mass spectral analysis of the plasma of each etch cycle demonstrates the majority of volatile by-product is in the form of CuHx and shows excellent correlation with the ellipsometry trends. Additional surface characterization by in vacuo XPS and AFM will be discussed.

6:00 PM PS1+AP-WeA-12 Examination of Mechanisms and Processes of Atomic Layer Etching of Copper
Taylor Smith (University of California, Los Angeles); Ethan Crumlin (Lawrence Berkeley National Laboratory); Jane P. Chang (University of California, Los Angeles)

Copper is the primary material used for the interconnects of an integrated circuit (IC). The dual damascene process was initially developed to deposit and pattern copper due to the difficulties of using traditional dry etching techniques, but the dual damascene process is reaching its practical limits. Atomic layer etching (ALE) is emerging as a process that could help replace the dual damascene process. In this work, we examine a plasma-thermal ALE process that uses plasma modification followed by a formic acid (FA) vapor phase removal step. Ambient pressure X-ray photoelectron spectroscopy (AP-XPS) was used to examine the FA vapor exposure step in particular detail. These in operando measurements aided in proposing the surface reaction mechanism of the modified copper surface during the vapor phase removal.

In addition, a nitrogen plasma was used to develop an ALE process based on nitridation of the copper film. Previous ALE of copper has used oxidation as the first modification step—however, copper spontaneously oxidizes on ambient exposure, making it difficult to decouple the effects of the process from ambient exposure. On the other hand, copper nitride does not form spontaneously on exposure to ambient conditions, so all nitridation is a direct result of processing. Plasma nitridation of copper has been accomplished using an ICP reactor with a nitrogen plasma, and the resulting copper nitride film has been characterized by XPS. The copper nitride film has an approximate composition of CuN0.3.

Session Abstract Book
(330KB, Nov 18, 2022)
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule