AVS 68 Session PS2+TF-WeM: Plasma Processes of Non-Silicon Related Semiconductors for Energy-Efficient Devices in Power, Photovoltaics and Optoelectronics Applications

Wednesday, November 9, 2022 8:00 AM in Room 315

Wednesday Morning

Session Abstract Book
(305KB, Nov 18, 2022)
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule

Start Invited? Item
8:00 AM PS2+TF-WeM-1 Low-Damage Etching of Nitride Semiconductors Utilizing Photo-Electrochemical Reactions
Taketomo Sato (Hokkaido University)

Gallium nitride (GaN), which is a III-nitride semiconductor, has wide direct bandgap (3.4 eV), high breakdown electric field (3.3x106 V/cm) and high saturation electron velocity (2.7 x107 cm/sec). On the basis of excellent optical and electrical properties, significant progress has been achieved in the GaN and III-nitride based devices, such as ultraviolet laser diodes, white light-emitting diodes, and high-frequency power transistors.

For the fabrication of GaN-based devices, the etching process is one of the key-issues because GaN is a robust material with strong chemical bonds between nitrogen and Ga showing high chemical stability. Among the various etching technique, the photoelectrochemical (PEC) etching method has a great advantage in low damage and high controllability on nitride semiconductors. The PEC etching process consists of anodic oxidation of the surface and subsequent dissolution of the oxide with a chemical treatment. Since the photo-carriers generated near the sample surface is utilized to enhance the oxidation, the etching reactions easily occur even in highly-stable nitride semiconductors. In addition to this, the electrochemical reactions proceed at room temperature and follows Faraday's law. These features allow etching of nitride semiconductors with low damage and high controllability.

In this presentation, I introduce our recent work on the PEC etching of nitride semiconductors for high-power and high-frequency device application. As an example, the PEC etching process has been optimized for recess etching of AlGaN/GaN high electron mobility transistors (HEMTs), a key device in next-generation communication systems. The etching features were drastically changed with both the light wavelength and its irradiation power. Under the optimal condition, we obtained a very slow etching-rate of 0.12 nm/min, leading to a smooth and flat surface with rms-roughness of 0.4 nm. The threshold voltage (Vth) of recessed-gate AlGaN/GaN HEMT was precisely controlled by the residual AlGaN thickness after the PEC etching. These features are promising to realize the high-performance HEMTs with a normally-off operation.

8:40 AM PS2+TF-WeM-3 Gan Profile Understanding During the Plasma Etching of an HEMT Recessed-Gate with a Photoresist Mask
Simon Ruel (CEA-LETI, France); Philippe Thoueille (Lam Research Corporation); Patricia Pimenta-Barros, Nicolas Posseme (CEA-LETI, France)

GaN-based high electron-mobility transistors (HEMTs) constitute the next generation of high power devices after their silicon-based counterparts, because of their higher super-conducting properties offered by a two-dimensional electron gas (2DEG) channel created at the AlGaN/GaN heterojunction. For safety and consumption considerations, a Normally-Off operating mode is desirable, and possible by patterning the transistor gate with a full recess beneath the 2DEG in order to cut physically the conduction. To maximize the final device efficiency, the gate profile as well as the Plasma Induced Damage (PID) need to be well controlled. Therefore, it seems very important to understand the plasma interactions with the GaN and the associated mechanisms responsible for the GaN profile in order to better control it.

In this paper, we propose a morphological study of the gate plasma etching. The study is firstly composed of different tests and characterizations in order to understand and identify the mechanism responsible for the GaN profile. Then, the knowledge was applied to optimize the Process of Reference (POR) towards a more vertical and uniform profile.

After having opening Barc and dielectric layers, the AlGaN/GaN partial recess is done with a Cl2/BCl3-based RIE plasma with a photoresist mask. An etching film of the latter step was performed to identify the gate profile formation and eventual defects. We obtained a tapered profile (~50°) due to a polymer deposition on sidewall, growing with the etching time.

The characterization of these products was performed thanks to TEM EDX. The polymer may be mainly composed of C and Cl, with some traces of Ga. The presence of metallic traces explained the non-removal of the layer by an O2 plasma.

A parametric study allows us to identify the key parameters responsible for the passivation regime and the slope control: the ElectroStatic Chuck Temperature (ESC T°), the amount of BCl3 in the plasma, and the DC Bias voltage. Coupled with the previous analysis, we can propose a mechanism responsible for the sidewall passivation. Three main contributors are identified: a [C-Cl] compounds redeposition coming from the resist mask erosion, non-volatile Ga-based compounds [Ga-Cl] coming from the etched GaN trench, and a BxCly contribution coming from the BCl3 dissociation in the plasma.

Finally, we compared the best process obtained to the POR : it shows a more vertical and uniform GaN profile (80°) against a tapered profile with a double-slope (52°/65°) for the reference.

9:00 AM PS2+TF-WeM-4 Quantitative Characterization of Plasma-Induced Defect Creation in InP Substrates Using Conductance Analysis
Takahiro Goya (Kyoto University, Japan); Yoshinori Kodama, Yoshifumi Zaizen, Masanaga Fukasawa (Sony Semiconductor Solutions Corporation); Keiichiro Urabe, Koji Eriguchi (Kyoto University, Japan)
To meet increasing demands for higher operating frequency, new material-based systems that exceed the electron mobility limit of Si have attracted attention. InP is one of the promising semiconductors implemented in the next generation devices [1]. In designing the performance, the property degradation during plasma processing—plasma-induced damage (PID) [2]—is a critical issue. However, in contrast to Si devices, there have been no quantitative evaluation technique assessing the nature of PID in InP systems. In this study, we propose a defect characterization technique for InP systems using conductance analysis. N-type InP substrates were exposed to capacitively coupled plasma with Ar gas. Exposure time and peak–to–peak voltage (Vpp) were varied from 3 to 30 s and 270 to 450 V, respectively. We first confirmed that the present PID forms damaged layers on Si substrates whose thicknesses were approximately 5 nm by spectroscopic ellipsometry. 5.8 nm SiO2 films were formed by ALD for electrical analyses. SiO2/Si structures were prepared in comparison. It was revealed that tunneling current and capacitance-voltage tests (commonly employed in Si devices) were not sufficient to evaluate PID in InP systems. We applied a conductance technique [3] with an optimized equivalent circuit model. An AC modulation bias with a frequency (fmod) from 100 Hz to 1 MHz was superimposed on a DC bias (Vbias). The peaks in conductance spectra for the SiO2/InP devices were found to be "pinned" around 1 kHz after plasma exposure regardless of Vbias in depletion, whereas the peak shift in response to Vbias was observed for the SiO2/Si devices. Moreover, the peak intensity for the SiO2/InP devices increased with Vpp, while exposure time did not significantly impact strongly, presumably due to the dose dependence of the profile. These findings indicate that the nature of PID in InP substrates is unique, i.e., the electrical response of created defects is different from that in Si devices. In addition, the PID can be quantified with the conductance method in terms of the peak intensity at a specific fmod. The proposed conductance analysis is extremely useful for the PID assessment in future InP-based devices.
[1] W. R. Deal et al., IEEE IEDM, 2016, p. 707.
[2] K. Eriguchi, J. Phys. D: Appl. Phys. 50, 333001 (2017).
[3] T. Kuyama et al., Jpn. J. Appl. Phys. 59, SJJC02 (2020).
9:20 AM PS2+TF-WeM-5 Impact of Bias Power and Oxygen Addition on Selective Dry Etching of TiAlC over TiN Using N2/H2-based Plasmas
Kenji Ishikawa, Thi-Thuy-Nga Nguyen (Nagoya University); Kazunori Shinoda, Hirotaka Hamamura (Hitachi); Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa (Hitachi High Technologies); Masaru Hori (Nagoya University)

In the isotropic etching of multiple metal gate materials made of titanium compounds, selectivity of TiAlC against the other materials, involving metal compounds, insulators, and semiconductors, such as TiN, TaN, HfO2, and C hard mask is required. Wet etching of Ti compounds using H2O2 mixtures has been conventional applied. However, this causes damage to the other exposed materials due to low etch rates and insufficient selectivity, as a result of a prolonged treatment time. To increase selectivity of etch rates for TiAlC films, the dry etching for ternary material TiAlC has been developed by processing with high density of radicals generated in a NH4OH vapor plasma at medium-pressure plasma in our previous study [1]. This is feasible to show a potential of isotropic etching using chemistries containing NH and H.

In this study, selective dry etching of the ternary material TiAlC over TiN has been first developed using N2/H2-based plasmas generated from a capacitively coupled plasma etcher at low pressure. Using an in situ ellipsometry and an optical emission spectrometer (OES), highly selective etching of TiAlC over TiN has been investigated. In particular, addition of a small amount of O2 (10%) into the N2/H2 plasmas enhanced the selectivity. A process window for selective removal of TiAlC over TiN is presented here at various bias powers, in which chemical etching was dominant at lower bias power with higher selectivity (>5). By using various mixtures of N2, H2, and O2 gases for generating plasmas, surface reactions under energetic ion bombardments produces selective surface modification of the TiAlC and TiN films. Controlling O2 addition and ion energy is essential for enabling applications of both selective anisotropic or isotropic etching of metal carbides, metal nitrides, or metal oxides.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS2+TF-WeM-10 Bulk Titanium Micromachining and Simultaneous Sidewalls Passivation for Bio-MEMS Applications
Rim Ettouri, Thomas Tillocher, Philippe Lefaucheux (GREMI CNRS/Université d'Orléans); Bertrand Boutaud, Jodie Phung, Hadrien Philippe (MISTIC); Rémi Dussart (GREMI CNRS/Université d'Orléans)

The biomedical field has seen significant expansion because of the rise of microsystems. Even though silicon has historically been the material of choice, improvements in Titanium (Ti) micromachining have enabled the creation of bulk Ti-based devices. Whilst wet etching methods for Ti are available, they are isotropic and so restrict the geometry of features that may be created, especially at the microscopic scale. Conversely, Reactive Ion Etching (RIE) of titanium has allowed the formation of anisotropic profiles and the fabrication of biodevices such as vascular stents and micro-needles for drug delivery. In the literature, three distinct plasma chemistries for titanium dry etching have been reported with promising results: fluorinated, chlorinated, as well as combined chloro-fluorinated plasmas [1].

A method for simultaneous etching and sidewall passivation of bulk titanium is presented, which bypasses the conventional cyclic methods requiring separate passivation steps, such as the MARIO process [2]. Fundamentally enabled by the use of a continuous plasma of SF6/O2/Ar, the key novelty of this technique lies in the formation of a passivating oxide layer during micromachining . Iridescent oxide colors at the bottom of the etched Ti trenches were obtained, which result from the interference of light reflected from thin transparent oxides. Herein, we explain the oxidation mechanism that occurs during micromachining. Understanding the passivation schemes of Ti RIE is important for increasing feature aspect ratios and further miniaturization of the devices.

By adjusting the plasma parameters, the process can be controlled to achieve the best results in terms of etch rate and anisotropy while maintaining an acceptable undercut. The sidewall passivation material which is formed is found to be a titanium dioxide which contains only minor amounts of fluorine, giving the X-Ray Diffraction (XRD) patterns characteristic of rutile and anatase phases and as shown by the Energy Dispersive X-Ray Spectroscopy microanalyses (EDS). Fundamentally, the corresponding etching profiles strongly correlate the competitive effects based on the simultaneous etching and oxidation of the surface by atomic F and O, respectively.

While an almost infinite number of techniques have been used to form rutile titanium dioxide on any surface, this is yet the first time it has been reported to be achieved by RIE. This can lead to a significant increase in the potential of titanium bio-MEMS and even widen the field of application.

[1] F. Fracassi, R. d'Agostino, Pure and Applied Chemistry 64 (1992) 703-707.
[2] M.F. Aimi et al., Nature Materials 3 (2004) 103-105.

View Supplemental Document (pdf)
11:20 AM PS2+TF-WeM-11 Selective Dry Etching of TiAlC over TiN using N2/H2 Plasma Chemistry
Thi-Thuy-Nga Nguyen (Nagoya University, Japan); Kazunori Shinoda, Hirotaka Hamamura (Hitachi, Japan); Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa (Hitachi High-Tech, Japan ); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

Selective etching of metal gate materials such as TiAlC over TiN is required in advanced fabrication of fin-type or nanosheet field effect transistor (FET) of logic semiconductor devices. The conventionally wet etching of TiAlC and TiN films using H2O2 mixtures causes poor etching performance and device damage. In contrast, dry etching shows advantages in controlling etchant species and their energies for both isotropic and anisotropic processes. In order to obtain higher selectivity between Ti compounds, a non-halogen chemistry for highly selective and controllable dry etching of TiAlC over TiN was reported in our previous study, which developed a feasible dry etching method for ternary material TiAlC using a high-density plasma at medium pressure [1]. For the semiconductor application, in this study, low-pressure dry etching of the ternary material TiAlC has been first developed by using N2/H2 plasma.

A capacitively coupled plasma etcher was used to generate N2/H2 plasmas, and the upper and lower electrodes were operated with 100 MHz and 2 MHz sources, respectively. Optical emission of an NH molecular line at 336.3 nm from N2/H2 plasmas was detected by an optical emission spectrometer (OES). The N2/H2 plasma can produce etchant species including NH, N, and H to form volatile products. The etch rate of TiAlC was around 2 nm/min for the N2/H2 plasma, whereas no etching occurred with only N2 plasma or H2 plasma. Selective etching of TiAlC to TiN has been successfully demonstrated.

The influence of substrate temperature on etch rate and selective etching of TiAlC over TiN was investigated in a range of -20oC to 50oC. The surface modification of the TiAlC and TiN films after plasma exposure was analyzed by X-ray photoelectron spectroscopy (XPS). The XPS analysis revealed that the modified layer that included N-H and C-N bonds formed only on TiAlC and not on TiN. This non-halogen plasmas etchingmethod can be applied for highly selective etching of metal carbides, nitrides, or oxides, based on proposed mechanisms for selective etching of TiAlC over TiN.

[1] T.T.N. Nguyen et al., AVS67, PS-Contributed On Demand-10 (2021).

11:40 AM PS2+TF-WeM-12 Surface Modification of CoSi through Interconnect Fabrication Processes
Nathan Marchack, Alexander Orefice, Marinus Hopstaken, Guy Cohen, Christian Lavoie, Robert Bruce, Ching-tzu Chen (IBM Research)

The introduction of copper BEOL interconnects played a critical role in downscaling CMOS technologies. However, at the dimensions required for future technology nodes, this mainstay faces its own set of scaling challenges, such as liner thickness limits and grain boundary scattering. [1] Topological semi-metals possess unique physical properties that make them promising candidates for the next generation of interconnect materials: namely, their resistance-area (RA) product decreases with decreasing thickness. One such material, CoSi is particularly attractive due to its compatibility with existing CMOS integration schemes. [2]

In order to facilitate patterning of semi-metals at competitive node dimensions, it is essential to investigate the influence of fabrication processes (e.g. plasma etching) on the bulk and surface properties. [3] We present surface analysis of subtractive halogen-based plasma etching of CoSi films, including effects on film stoichiometry characterized by XPS. Downstream plasma and wet solution treatments for removal of the damaged surface layers are also presented. It was found that a cyclic approach, comprising alternating exposures of inductively coupled HBr and microwave downstream H2 plasma, provides the best stoichiometric retention. Finally, the impact of different deposition methods to form CoSi is discussed, with particular focus on the grain size disparities observed.

[1] C.-L. Lo et al., J. App. Phys. 128, 080903, 2020.

[2] C.-T. Chen et al., IEEE Int. Electr. Dev. Meeting, San Francisco, CA, Dec 12-18th, 2020.

[3] N. Marchack et al., J. App. Phys. 130, 080901, 2021.

12:00 PM PS2+TF-WeM-13 PbS Quantum Dots Thin Film Dry Etching
Nicolas Le Brun (LTM - MINATEC - CEA/LETI, France); Pascal Gouraud (STMicroelectronics, France); Gilles Cunge (LTM - MINATEC - CEA/LETI, France); Linda Parmigiani, Stephane Allegret-Maret (STMicroelectronics, France)

Nowadays there is an increasing demand to enhance the capabilities of industrial products to sense their environment more efficiently, i.e. under difficult conditions (smoke, darkness…). This challenges semiconductor manufacturers because applications concern many daily life products using image sensors (smartphones, cars, automated tools…etc).

One solution studied recently is to extend the capabilities of image sensors to detect light towards the near Infrared (NIR) and Short-wave Infrared (SWIR) regions . Silicon having weak absorption properties at these wavelengths, manufacturers are looking to develop new materials which could be efficient sensors for these specific regions of the light spectrum.

To this end, quantum dot thin films are promising materials for their tunable band gap, their absorption properties, and their large-scale production availableness.

This study is related to the elaboration of sensors using PbS quantum dot thin films, and will focus on its patterning through a dry etching step. We propose to compare different etch chemistry combinations to define the best etch condition to pattern our PbS quantum dot thin films. In order to ensure the best optical properties of our material, it is crucial to control the slope of the etched profile and to prevent the uncontrolled diffusion of etchant in the quantum dot thin film stack. We will therefore monitor these key parameters under various plasma chemistry combinations as well as other dry etching settings, such as temperature or pressure.

Session Abstract Book
(305KB, Nov 18, 2022)
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 68 Schedule