AVS 66 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, October 22, 2019 6:30 PM in Room Union Station AB

Tuesday Evening

Session Abstract Book
(354KB, Apr 26, 2020)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 66 Schedule

PS-TuP-2 Low-temperature Atmospheric Plasma Deposition of Photocatalytic Doped Anatase TiO2 Coatings on Polymer Substrates
Kamal Baba, Miguel Quesada-Gonzalez, Simon Bulou, Patrick Choquet, Nicolas Boscher (Luxembourg Institute of Science and Technology, Luxembourg)

Anatase titanium dioxide (TiO2), one of the most important photocatalytic materials, has met a wide range of applications, including self-cleaning surfaces, environmental purification, water splitting and photovoltaic applications. Many attempts, including doping and noble-metal nanoparticles loading, have been proposed to extend the photocatalytic activity of anatase TiO2 to the visible range as well as reduce the photo-induced electron-hole pair recombination probability. On the other hand, tremendous efforts have targeted a decrease of the temperature formation and deposition of anatase TiO2-based thin films.

Due to their undeniable industrial advantages, such as low temperature, low cost, easy implementation and in-line process capabilities, low-temperature atmospheric-pressure plasma processes provide a promising alternative for the low-temperature deposition of functional coatings. In this work, we reported the simultaneous formation and deposition of photocatalytic anatase TiO2 thin films on polymer substrates using a microwave (MW) plasma source operated at atmospheric-pressure.

We further demonstrate our approach as suitable for the formation of doped anatase TiO2 thin films. Boron-doped anatase TiO2 were readily deposited on different substrate such as glass, silicon and polymeric optical fibers in a one-step process. The careful selection of the titanium and boron precursors allows the deposition of well adherent, dense and crystalline B-TiO2 with a visible light activity. The photocatalytic activity of the deposited films was demonstrated by monitoring de degradation of stearic acid or methylene blue under UV and visible light by FTIR and related to the narrowing of the band gap observed by UV-Vis spectrophotometry. Finally, light-diffusing polymer optical fibers were coated using the developed method for the elaboration of a water decontamination reactor for the removal of organics and antibiotics.

PS-TuP-3 Radical Nitriding of Silicon Surface Promoted by Surface Plasmon Resonance of Gold Nanoparticle Catalyst
Machiko Miyake, Takeshi Kitajima, Toshiki Nakano (National Defense Academy, Japan)

In recent years, the catalytic effect of gold nanoparticles has attracted attention1, 2. We have applied the catalytic property of gold nanoparticles to plasma surface reaction, and aim at the formation of a high-quality ultra-thin film by low damage nitriding by radical (R). This time, we compare the degree of nitridation by the presence or absence of ion irradiation (I), light irradiation (L), and the gold nanoparticle catalyst (C), respectively, and discovered the radical nitriding phenomenon by surface plasmon resonance of gold nanoparticles.

Gold is deposited for two minutes by electron beam evaporation on a SiO2/Si(100) substrate in an ultra-high vacuum chamber.

Next, 30 mTorr of nitrogen plasma was generated in the attached chamber, and radicals (R) that had passed through a 30 line/inch SUS304 single mesh were irradiated to the sample for 5 minutes. When no light was applied, the sample surface was rotated 90 °.

The AFM images of gold nanoparticles produced by evaporation were compared under irradiation conditions of radicals, light, and ions.

The effect on the shape of gold nanoparticles increased in the order of RILC> RLC> RC.

It is clear that it is necessary to remove the ion irradiation in order to make the effect of the gold nanoparticles.

Next, surface atomic compositions by XPS were compared. The nitrogen ratio was not largely dependent on the irradiation conditions, but was relatively high in RI and RILC conditions where radical and ion irradiation occur simultaneously.

Comparing the XPS N1s spectrum, a peak near 398 eV of SiN is obtained strongly under the RLC condition where surface plasmon resonance can occur, and it can be imagined that a Si-N bond could be formed with the aid of the catalytic activity of Au nanoparticles.

When there is no light irradiation, the signal intensity of the Si-N bond is weak.

Also in the Si2p spectrum of XPS, the chemical shift between SiON and Si is small (3.6 eV) under the RLC conditions, which reflects the formation of the Si-N bond.

From the above, in the presence of light irradiation, it is considered that the catalytic activity of the Au nanoparticles is expressed by the effect of surface plasmon excitation, and the formation of the Si-N bond is promoted.

1. X. Chen, H.-Y. Zhu, J.-C. Zhao, Z.-F. Zheng, and X.-P. Gao, Angew. Chem. 120, 5433 (2008).

2. S. Bhaviripudi, E. Mile, S.A. Steiner, A.T. Zare, M.S. Dresselhaus, A.M. Belcher, and J. Kong, J. Am. Chem. Soc. 129, 1516 (2007).

View Supplemental Document (pdf)
PS-TuP-4 Development and Characterization of a Small-Scale Helical Dielectric Barrier Discharge for Studying Plasma-Surface Interactions
Nazli Turan, Patrick M. Barboun, William F. Schneider, Jason C. Hicks, David B. Go (University of Notre Dame)

The study of plasma-surface interactions is an emerging field for a wide variety applications, including sustainable energy (catalytic H2 production), environmental remediation (water purification), medicine (sterilization), and high-value manufacturing (nanomaterial synthesis). These applications are driven by species created in the plasma or at a plasma-surface interface, such as free electrons, gaseous ions, excited molecules and radicals, driving chemistry at a surface. Here, we develop a new dielectric barrier discharge (DBD) configuration to produce surface DBDs over a three-dimensional geometry. The motivation for this geometry was to embed the plasma source inside a packed bed (e.g., catalyst) reactor that had tight spatial restrictions so that it could be implemented in a commercial Fourier transform infrared (FTIR) spectrometer instrument.

The design, which we term a helical DBD, was inspired by surface DBD configurations often employed in plasma actuators for fluid dynamics applications. However, rather than using a 2D surface common in plasma actuators, the helical DBD uses the 3D surface of a cylinder as its dielectric, allowing for greater plasma coverage and in this case, greater interaction with a packed bed. This study characterizes the electrical properties of the helical DBD in both free space and within a packed bed reactor. Various electrical parameters, including energy, deposited power, and plasma current are measured as a function of frequency and voltage. Visual properties are presented to show how the DBD spreads along the dielectric surface or into the packed bed. The effect of being immerged in a packed bed is quantified and the potential future prospects of this type of DBD geometry are discussed.

PS-TuP-5 Characteristics of Magnetized High Density Plasma and its Applications
Jung-Hyung Kim, Hyo-Chang Lee, Dae-Jin Seong (Korea Research Institute of Standards and Science, Republic of Korea)

We developed high density plasmas in a very uniform magnetic field. To maximize the electron density and efficiency, aspect ratio of discharge cylinder is varied. The discharge pressure is about mTorr or sub-mTorr. Characterization of fully ionized high density helicon plasma is made with probes and optical emission spectra. A helicon plasma with 1013 cm−3 density is produced in a diameter of 10 cm and length of 70 cm, and the preliminary results of plasma properties are briefly described. The electron temperature is relatively high and the ions are highly ionized. These low pressure plasmas emit short wavelength lights. We inject Xe gas and/or Sn in this system to see the possibility for EUV light sources as one of applications. Weak EUV emission can be detected in low pressure high density magnetized plasma with Sn injection. We could see the possibility for EUV source with this magnetized plasma system. Hereafter, we need more RF power and higher magnetic field to more confine the high density plasma column. We need also to stabilize the plasma for stable strong EUV source at low pressure and high magnetic field.

PS-TuP-6 The Effect of Ionic Strength on the Absorption Spectrum of Plasma-Injected Solvated Electrons
Daniel Martin, Hernan E. Delgado, David M. Bartels, Paul Rumbach, David B. Go (University of Notre Dame)

The study of plasma-liquid interactions is an emerging field with multifarious applications that are driven by chemical species created in the plasma or at the plasma-liquid interface, such as the hydroxyl radical (OH), hydrogen peroxide (H2O2), and, in particular, solvated electrons (eaq-). The solvated electron is an electron in a polar solution, loosely confined in a potential well formed by the solvent molecules, and notable for being a powerful reductant. Historically, solvated electrons have been studied by using pulse radiolysis and laser photolysis. However, recently we confirmed their presence in a direct current (DC), atmospheric pressure, liquid anode discharge using phase-locked, total internal reflection absorption spectroscopy (TIRAS). The measured absorption spectrum appeared to be blue shifted from the well-established dilute solution spectrum, and one possible explanation is that the local ionic strength in the double layer at the plasma-liquid interface alters the solvation potential well via increased Coulombic interactions. In this work, we use TIRAS to measure the absorption spectrum as a function of the solution ionic strength and compare the results to measurements produced using pulse radiolysis in order to resolve any differences in the spectra of plasma-injected and bulk-produced solvated electrons.

PS-TuP-7 Inductively Coupled Plasma Reactive Ion Etching of Copper Thin Film using Organic Chemicals and Alcohols
Moon Hwan Cha, Eun Taek Lim, Jin Su Ryu, Chee Won Chung (Inha University, Republic of Korea)

To improve the performance of Semiconductor memory device, it is important to reduce RC delay. Copper is widely used as interconnect material because it has lower resistivity and higher electromigration resistance than aluminum. However, the conventional dry etching of copper is very difficult due to low vapor pressures of copper compounds and/or low reactivity of copper . As an alternative to dry etching for copper interconnect, a damascene process has been developed in the early 1990s and has been used until now. However, as the device critical dimension continues to shrink, especially below about tens of nanometers, the resistance of the device increases and the performance of the device is deteriorated. As a result, the development of a conventional dry etch process is inevitable.

In this study, new copper etching process which utilizes organic chemicals and alcohols are studied. The etch characteristics of copper under these gases are investigated using inductively coupled plasma reactive ion etching (ICPRIE) as a function of gas concentration and the effects of main etch parameters such as ICP power, dc-bias voltage to substrate, and process pressure are also examined. The etch profiles are observed using FESEM and the etch products formed during etching are analyzed using X-ray photoelectron spectroscopy (XPS), Energy dispersive X-ray spectroscopy (EDS) is also carried out to indentify the etch residues. Plasma characteristics are analyzed using optical emission spectroscopy (OES) and Langmuir probes.

PS-TuP-8 High Resolution Quadrupole Mass Spectrometry Analysis for Fusion Reactor and Plasma Facing Materials
Gregory Thier, Brian Regel, Luke Kephart (Extrel CMS)

Fusion reactions break down gaseous hydrogen electrically, forming a plasma. Plasma particles heat up to fusion temperatures and create fusion reactions, releasing huge amounts of energy. Fusion reactors such as tokamaks use tiles made of Tungsten for the interior section exposed to the highest heat and particle fluxes. A major goal of research into fusion materials testing involves exploring material performance in deuterium, helium, or mixed plasmas. The ability to effectively measure helium and deuterium in plasmas simulating fusion plasmas or the effect on plasma facing materials after exposure to such plasmas through techniques such as TDS and TPD is critical to developing our understanding proposed materials’ suitability for long-term use in fusion and other plasma facing applications. An Extrel VeraSpec HRQ (High Resolution Quadrupole) Mass Spectrometer was used for the analysis of gas phase components expected in fusion reactions. A certified cylinder containing helium and deuterium was leaked into the vacuum chamber to characterize the long term mass stability of the system under high resolution conditions. This gas was then diluted to determine the low detection limits of these species under the same conditions. A certified cylinder containing carbon monoxide and nitrogen was also leaked into the vacuum chamber to assess the system’s ability to resolve the spectrum of this mixture. Spectra at six hour time intervals were taken of the helium and deuterium mixture over 24 hours. The experiment demonstrated that, under high resolution conditions, no detectable mass spectral changes were observed. Diluting the mixture, detection limits of approximately 10ppm (parts per million) were calculated for helium and deuterium. Quadrupole mass spectrometry provides a low cost, simple experimental setup to monitor the effects of fusion reactions on reactor materials.

PS-TuP-9 Controlled Layer-by-Layer Etching of Copper Thin Films
Eun Taek Lim, Jin Su Ryu, Moon Hwan Cha, Chee Won Chung (Inha University, Republic of Korea)

As the critical dimensions of semiconductor devices are reduced for their high performance, fast operating speeds and low operating power, aluminum interconnects are no longer used as a suitable electrode material, but instead of aluminum, the use of copper is increasing. Copper is known as an excellent interconnect material compared to aluminum due to its very low resistance and less electromigration phenomena that cause wire deformation and breakage. However, the damascene process presents some limitations in delineating fine patterns below tens of nanometers. To solve these fatal issues related with the damascene process, intensive researches about copper patterning has been performed using conventional dry etching. Currently, one promising way to etch copper films is cyclic etching. Cyclic etching, including surface modification and its removal, can effectively provide a good etch performance of silicon material. This etching technique is proceeded by inducing surface reactions and precise removal of the modified surfaces, resulting in the accurate control of the etch depth. These results are due to the nature of the self-limiting process and the removal of layers by layer. Various combinations of gases are possible for cyclic etching of the films

In this study, a two-step sequential cyclic etching of surface modification and ion bombardment are investigated. Surface modification and etch depth of the copper film are confirmed using a surface profilometer, a scanning probe microscope and a field emission scanning electron microscope (FESEM) as a function of various parameters such as the conditions of surface modification and bombardment energy of ions. In addition, etch profiles and etch mechanism of copper films in cyclic etching have been studied by FESEM, FETEM and X-ray photoelectron spectroscopy.

PS-TuP-10 Effects of Bias on Quasi-Atomic Layer Etching of Silicon Dioxide by Cyclic Ar/C4F8/O2 and Ar Plasmas
Xifeng Wang (University of Michigan); Mingmei Wang, Aelan Mosden, Peter Biolsi (TEL Technology Center, America, LLC); Mark J. Kushner (University of Michigan)

With the reduction in feature size in microelectronics fabrication, the process flow in plasma etching includes several steps that are devoted to producing the mask that is ultimately used to define the semiconductor (or dielectric) critical dimension (CD). These processes include tight pitch/space and multi-layer structures composed of several materials which, in turn, require a sequence of recipes steps to etch. In this regard, atomic layer etching (ALE) is being employed in several steps of the process flow to improve CD tunability and resist selectivity.

In this work, we report on a computational investigation of the ALE plasma etching of dielectric (silicon dioxide) layers in multi-layer structures using a cyclic fluorocarbon mixture deposition and Ar etching process. Reactor scale modeling was performed using the Hybrid Plasma Equipment Model (HPEM) and feature scale modeling was performed by Monte Carlo Feature Profile Model (MCFPM). The first step in the process largely deposits fluorocarbon polymer. The second step activates the etch. The reactor is a multi-frequency capacitively coupled plasma (CCP) augmented by a DC bias to the top electrode. During the deposition step where ion energies should be low, 40 MHz source power is applied to the bottom electrode and a 900 V negative DC bias is applied to the top electrode. For the etch step where moderately energetic ions are desired, only a 10 MHz bias is applied to the bottom electrode.

During the deposition step, ion energies to the wafer are typically lower than 40 eV. These low energy ions activate surface sites (but typically do not sputter), which then enables deposition of a controllable thickness of polymer. During the etch step, the flux of Ar+ at the surface is at about 1.4 1015 cm-2s-1, when then requires several to ten of seconds to remove a monolayer or several monolayers of dielectric. Since the layers being removed are at the bottom of a high-aspect-ratio feature, it is desirable to narrow the angular distribution of the ions by increasing bias power which then also increases ion energy. The narrower distribution works towards maintaining the CD, however the higher ion energy works against maintaining the quasi-ALE character of the etch. Tradeoffs between simultaneously maintaining CD and quasi-ALE performance will be discussed .

* Work supported by Tokyo Electron Ltd. and the US Department of Energy Office of Fusion Energy Science.

PS-TuP-11 Electron Beam Generated Produced Plasmas Produced in Oxygen: Measurements and Simulations
Scott Walton, David Boris (U.S. Naval Research Laboratory); Shahid Rauf (Applied Materials, Inc.)

The U.S. Naval Research Laboratory (NRL) has developed a processing system based on an electron beam-generated plasma. Unlike conventional discharges produced by electric fields (DC, RF, microwave, etc.), ionization is driven by a high-energy (1-3 keV) electron beam, an approach that can yield very different plasma properties than conventional plasma processing systems. Electron beam-generated plasmas are broadly characterized by high charged particle densities (1010- 1012 cm-3), low electron temperatures (0.3 - 1.0 eV), and in reactive gas backgrounds, a relatively low radical production rate compared to discharges. When produced in oxygen backgrounds, this combination of features leads to a surprisingly large density of O+ ions. In this work, we combine plasma diagnostics and modeling to characterize the spatial evolution of electron beam generated plasmas produced in oxygen as a function of operating parameters such as beam energy, beam current and pressure. Measurements of ion fluxes at adjacent surfaces indicate the large O+ ion densities can lead to O+/O2+ flux ratios that far exceed one. The modeling results capture salient features of the plasma and provide a better understanding of plasma kinetics that lead to the measured ion flux ratios. This work is partially supported by the Naval Research Laboratory base program.

PS-TuP-12 Silicon Micro-Channel Definition Via ICP Plasma Etching Process Using Different Hard Masks
Hugo da Silva Alvarez, José Alexandre Diniz, Camila Ruiz, Audrey Roberto Silva, Frederico Cioldin, Valter S.N. Junior (UNICAMP, Brazil)

Alumina, pieces of silicon wafers, lithographed aluminum (Al) and aluminum nitride (AlN) were used as mechanical hard masks materials for micro-channel etching in silicon (Si) using a high-density inductively coupled plasma - Reactive Ion Etching (ICP-RIE) reactor. The mechanical masks of alumina and silicon, with thickness of 1 mm and 0.35 mm, respectively, were positioned manually on the Si substrates, where the silicon micro-channels (SiMCs) were etched. In the case of Alumina, two rectangular masks were spaced 0.7 mm between them in the Si substrate, resulting in only one micro-channel. For Si mask, six Si pieces with rectangular shapes were positioned in the Si substrate with different spacing between them. The Al films with thickness of 500 nm were evaporated and wet etched using a two lithographed masks patterns: i) parallel lines with width of 0.8 mm and 0.2 mm spaced ; ii) parallel lines with width of 0.2 mm and 0.8 mm spaced. This second sample was carried out to an ICP plasma nitridation (for 30 minutes) to result an AlN/Al structure. AlN material is considered a hard mask especially for the RIE plasma etching based on SF6 gas. The ICP-RIE processes to fabricate the SiMC and to characterize the mask resistance under the plasma etching were carried out using these fixed parameters: 10 sccm of SF6 + 15sccm of Ar, 15 mTorr of process pressure, 1200W of ICP and 40W of RIE powers. The SiMC profiles, obtained by scan profiler system. indicate that: (i) with alumina mechanical masks, SiMC was obtained the maximum depth value of 108 µm, for the width of 0.7 mm. As in this case, it has only one channel, the unprotected silicon region was small when this sample is compared with others. Small silicon region to etch, highest etch rate can be obtained; (ii) with silicon mechanical masks, it was obtained the depth values between 53 and 87 µm. Furthermore, it can be observed that there is a dependence between the width and the depth of channel. (iii) with Al mask defined by lithography and etching, the SiMC profiles are uniform, with the same width and depth of about 0.24 mm and 80 µm, respectively. The spacing regions with width of 0.76 mm are with surface roughness (up to 5 µm), indicating that the Al mask has not supported the plasma etching for 2 hours; (v) with AlN/Al mask defined by lithography, etching and plasma nitridation, the SiMC profiles are uniform, with the same width and depth of about 0.33 mm and 90 µm, respectively. The spacing regions with width of 0.66 mm have not presented the surface roughness, indicating that the Al mask has supported the plasma etching for 2 hours. However, at the end of plasma etching, this mask was very thinner.

PS-TuP-13 Corrosion Barrier Coatings for Aerospace Materials Deposited by Atmospheric Pressure CVD
Dhruval Patel, Zachary Jeckell, Tag Choi, David Barlaz, Lucia Bonova, Daniel Krogstad, David Ruzic (University of Illinois at Urbana-Champaign); Santanu Chaudhuri (University of Illinois at Chicago)
Rigorous performance standards for tactical vehicles and aircrafts demand the use of chemical

processes to apply a galvanic corrosion barrier coating. Current processes are often hazardous and

environmentally unsafe as they involve chemicals such as hexavalent chromium. The handling and

disposal of the waste products of these wet chemical processes puts a significant financial burden on the

Department of Defense. This work aims to design and develop a process which employs an atmospheric

pressure plasma jet to substitute the wet chemical processes. The proposed project focuses on

depositing zirconia-silica conversion coatings on aluminum surfaces as a corrosion barrier. The process

utilizes existing chemical vapor deposition precursors with a much smaller chemical foot print. The

process is capable of depositing 100 – 300 nm zirconia-silica layers with relatively low carbon content

as observed under XPS. Initial tactical testing showed reduced water intrusion for painted silica coated

substrates.

PS-TuP-14 Atmospheric Pressure Plasma: An Alternative Tool for the Synthesis of Efficient Photocatalytic Materials
Amal Sebastian (University of Notre Dame)
Photocatalytic splitting of water into hydrogen and oxygen is a method to convert solar energy into storable chemical energy directly, and it has received significant attention for its high potential for low cost and clean energy production. Developing efficient and cost-effective photocatalysts for water splitting is a growing need for solar energy research. In this work, we propose an alternative method to deposit photocatalytic materials with atmospheric pressure plasma (APP). The design and experimental approach for depositing the visible light photoelectrode TaOxNy using APP with a suitable solution precursor are explained in detail. The effect of plasma parameters on the composition of films is investigated by monitoring the surface chemistry changes with X-ray photoelectron spectroscopy. The observed changes in the composition of films with modulation of plasma parameters hint towards alternative processing routes to deposit photocatalytic materials efficiently
PS-TuP-15 Synthesis of Functional Polydopamine using Atmospheric Pressure Plasmas
Yun Jong Jang, Mu Kyeom Mun, Ju Eun Kim, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

Dopamine, known as a monoamine neurotransmitter, has functional groups such as catechol and amine. Under the state of oxidant and alkaline, the dopamine go through self-polymerization and creates polydopamine. This polymer is known to exhibit excellent adhesion (known as a mussel-inspired adhesive) to most of all organic and inorganic material surfaces. In this study, by using atmospheric pressure plasmas (dielectric barrier discharges; DBDs) with a low electron energy and a dopamine solution mist formed by piezoelectric module, a possibility of depositing functional polymer films showing the physical and chemical characteristics of polydopamine without breaking the functional group of the dopamine has been investigated for different plasma voltages. By using the lower DBD voltage of 1.5 kV, the partial dissociation of dopamine molecule for polymerization without breaking the catechol/amine functional groups of dopamine could be achieved while the use of the higher DBD voltage to 3.0 kV tends to break more functional groups of dopamine into atoms which leads to the decreased physical and chemical characteristics of polydopamine. It is believed that this atmospheric pressure plasma polymerization method of dopamine can be applied to various areas which require surface modifications instantly by forming a polydopamine film similar to the wet methods.

PS-TuP-16 Effect of Cx(x=4~7)F8 on the Etch Properties in Inductively Coupled Plasmas
Hyun Woo Tak, Da In Sung, Ye Ji Shin, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
In semiconductor industries, the trend of scaling down is ongoing in ultra large scale integrated (ULSI) devices such as logic devices or 3D NAND devices. To achieve the scaling down of the devices, multiple patterning technologies such as double patterning technology (DPT) and quadruple patterning technology(QPT) have become essential technologies and require a high selective SiO2 etch process. In this study, three types of perfluorocarbon (PFC) precursors(C4F8, C5F8 and C7F8)were used and the effects of these PFCs on the etch characteristics of SiO2, Si3N4, and ACL and their etch selectivities were investigated by using Cx(x=4~7)F8/Ar/O2 plasmas. Among these, C5F8 and C7F8 are liquid phase at room temperature and, to deliver these liquid precursors to the process chamber,the inductively coupled plasma (ICP) system was equipped with a constant temperature heated canister and heated gas lines. The etch results showed that the selectivities of SiO2/Si3N4 and SiO2/ACL with C7F8/Ar/O2 plasmas were much higher than those with C4F8/Ar/O2 and C5F8/Ar/O2 at the optimized etch conditions. It is found that, with C7F8/Ar/O2 plasmas, lower F radicals in plasmas and thicker fluorocarbon polymers on material surfaces were formed. Furthermore, C7F8/Ar/O2 plasmas exhibited more anisotropic SiO2 etch profiles than the plasmas generated with C4F8/Ar/O2 and C5F8/Ar/O2.
PS-TuP-17 Effect of Surface Charge Accumulation on Ion Current Distribution in Radio-frequency Magnetron Discharges
Bocong Zheng, Keliang Wang, Thomas Schuelke (Fraunhofer USA); Qi Hua Fan (Michigan State University)
In radio-frequency magnetron sputtering discharges with a dielectric target, the etching profile of the target is significantly different from that obtained on a metal target. In this work, a two-dimensional electrostatic particle-in-cell/Monte Carlo collision (PIC/MCC) code, named ASTRA, is used to investigate this phenomenon. It is found that the charge accumulation on the target surface can significantly influence the ion current distribution. The transverse magnetic field significantly inhibits the motion of electrons across the magnetic field line, resulting in the accumulation of positive charges here. The positive surface charge reduces the ion current to the target surface and results in the abnormal etching profile.
PS-TuP-18 The Research of a Oxide Thin Films to be Etched Process Under Cryogenic Conditions
Sang-Beom Han (Samsung Electronic Company, Republic of Korea)
In semiconductor fabrication, silicon oxide etching process has been more shrink and critical process for microelectronic devices. We have a tons of trouble to occur in etching deep and dense pattern. In the past, many studies have developed a further sophisticated and deeply oxide etching layer through high power, low pressure, and chemical gas mixtures. As a result we want to new approaching and trying it in terms of process temperature. Especially studies on poly-styrene films at cryogenic temperatures have been developed extensively, however there was not much research on etching of silicon oxide films at cryogenic temperatures. This experiment shows the results of investigating variations in etch rate and characteristics of oxide films at cryogenic temperatures.
PS-TuP-19 Plasma Etching High Aspect Ratio Carbon Nanotube Structures for a Neural Probe
Spencer Roberts, Guohai Chen (Brigham Young University)

A new approach to neural probe arrays using Carbon Nanotube Templated Microfabrication produces probes that would be smaller and more compliant than existing technologies. To achieve tall, straight probes, a sacrificial hedge is grown connecting adjacent probes and then is removed with a series of plasma etching conditions. These etches are challenging due a number a factors, including the variability in samples, the density function of the probes, and high aspect characteristics of the structure. Previously we developed a process that allowed us to selectively remove the hedges and leave the probes intact. We have now quantified and refined that process.

PS-TuP-20 NOx Fixation by Atmospheric Pressure N2/O2 Filamentary DBD Plasma over Water: Physicochemical Mechanisms of Plasma-Liquid interactions
Nepal Roy, Cédric Pattyn, Antoine Remy, Nicolas Maira, François Reniers (Université Libre de Bruxelles, Belgium)

NOx formation from N2/O2 gas or air through nonthermal plasmas has become an important research topic for researchers in the last decades, considering both environmental and industrial importance. In this study, an atmospheric pressure filamentary dielectric barrier discharge (DBD) plasma has been produced over the water surface by a sinusoidal20-30 kV, 24.5 kHz power supply. In order to study the physicochemical mechanism of plasma-liquid surface interaction for NOx production, the characterization of the plasma has been performed using optical emission spectroscopy (OES) and by recording voltage-current curves (with a high voltage probe, a Rogowski coil and a digital oscilloscope). The concentration of nitrates and nitrites ions in water was determined by ion chromatography. The power absorbed by the plasma discharge has been determined by Volt-Charge (V-Q) Lissajous curves in different experimental conditions. It is shown that the absorbed power increases sharply with increasing applied voltage and with the content of N2 in the gas mixture. OES diagnostics allows identifying the exited species, to study the relative emission intensity of NO (A-X) while varying the plasma gas composition, and to determine the rotational (Trot≈320-380 K) and vibrational (Tvib≈2600-3800 K) temperatures under different experimental conditions. The Boltzmann plot method has been employed for the estimation of Trot and Tvib by using the OH (A-X) and the N2 (C-B) bands respectively. Both Trot and Tvib increase with increasing applied voltage and with increasing the O2 content in the gas mixture. In the liquid phase, the concentration of NO2- is maximum at low applied voltages, treatment times and with pure N2 discharges, whereas in these conditions the concentration of NO3- is minimum. The concentration of NO3- increases with increasing treatment times, applied voltages and O2 content in the gas mixture. It is shown that the nitrites formed during the plasma treatment transform rapidly, and completely into nitrates. From the total amount of nitrites and nitrates synthesized in solution (named NOx below), the energy yield for the conversion was estimated. Depending on the starting gas composition and applied powers, yields of ~180 W/mg NOx to ~ 9.5 W/mg NOx were obtained.

PS-TuP-21 Simulation Study of Capacitively Coupled Radio Frequency Silane/Hydrogen Plasma Discharges - Effect of Tailored Voltage Waveforms
Syuan-Wen Huang, Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China)

Capacitively coupled plasma discharges (CCP) have been widely employed for material processing, such as etching and deposition. The purpose of this study is to investigate the effect of tailored voltage waveforms (TVWs) on the plasma characteristics of SiH4/H2 plasmas, typically, for Si film deposition. A fluid model based numerical simulation analysis (CFD-ACE+) is employed to investigate the basic discharge characteristics and corresponding basic physical and chemical mechanisms occurring in the plasma reactor. The TVWs adopted in this study are formed by four harmonics of 13.56 MHz sinusoidal voltages. Different voltage waveforms can be generated by tuning the relative phase between the four frequencies. A peak or valley voltage waveform is obtained when the "phase" is 0 or π, respectively. Simulation results show that the “peak” waveform results in a lower sheath voltage, and the SiH2/SiH3 flux ratio reaching the substrate is 40% lower than that for CCP with single frequency of 13.56 MHz. Thus, by using TVWs, it is possible to fine tune the structure and/or property of the deposited Si film. The detailed results of the simulation analysis of CCPs with TVWs will be presented.

*Acknowledgement : Work supported by the MOST, Taiwan/ROC.

Session Abstract Book
(354KB, Apr 26, 2020)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 66 Schedule