AVS 66 Session AP+2D+EM+PS+TF-MoM: Area Selective Deposition and Selective-Area Patterning

Monday, October 21, 2019 8:40 AM in Room A214

Monday Morning

Session Abstract Book
(288KB, Apr 26, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 66 Schedule

Start Invited? Item
8:40 AM AP+2D+EM+PS+TF-MoM-2 Surface Pre-functionalization of SiNx and SiO2 to Enhance Selectivity in Plasma‑Assisted Atomic Layer Etching
Ryan Gasvoda (Colorado School of Mines); Zhonghao Zhang, Scott Wang, Eric A. Hudson (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

To manufacture semiconductor devices in the current sub-7-nm node, stringent processing windows are placed on all aspects in manufacturing including plasma-etching. In recent years, atomic layer etching (ALE) has emerged as a patterning technique that can provide high etch fidelity, directionality, layer‑by‑layer removal, and selectivity to meet the tight processing windows. Plasma‑assisted ALE of SiO2 and SiNx is of particular interest since Si-based dielectrics are commonly used throughout the entire fabrication process. Typically, these materials are etched in a cyclic ALE process consisting of two sequential half‑cycles: fluorocarbon (CFx) deposition from a fluorocarbon plasma followed by an Ar plasma activation step. Etch selectivity can be achieved through careful manipulation of the plasma and processing parameters. To further increase overall etch selectivity, we have proposed a methodology that selectively pre‑functionalizes the SiO2 or SiNx surface with hydrocarbons prior to ALE. Recently, we showed that an etch blocking graphitic hydrofluorocarbon film will readily accumulate on a pre‑functionalized SiO2 surface.

In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy and in situ 4‑wavelength ellipsometry to monitor the surface reactions, film composition, and net film thickness during the entire ALE process. We show that aldehydes can be used to functionalize SiNx with extremely high selectivity to SiO2 surfaces. During ALE on bare SiNx, a thick graphitic fluorocarbon film accumulates on the surface and can stop all etching after cycle 5. This is attributed to inefficient removal of both the C and N from the surface. To enhance removal and prevent graphitic carbon accumulation, we graft a branched hydrocarbon aldehyde to the SiNx surface. This branched hydrocarbon provides an abundance of –CH3 groups which allows for greater C and N removal possibly via HCN formation, thus lowering overall graphitic carbon formation. This retardation of the graphitic hydrofluorocarbon film formation leads to both an overall increase in the etch per cycle and the number of ALE cycles that can be run before an etch stop is observed.

9:00 AM AP+2D+EM+PS+TF-MoM-3 Area-selective Atmospheric-pressure Spatial ALD of SiO2 using Interleaved Back-etch steps Yielding Selectivity > 10 nm
Alfredo Mameli, Fred Roozeboom, Paul Poodt (Holst Centre / TNO, The Netherlands)

Area-selective atomic layer deposition (AS-ALD) has great potential in reducing cost by maskless device manufacturing of patterned layers. Still, in this new bottom-up approach the selectivities currently obtained for film growth on patterned growth areas vs. that on the non-growth areas are often very limited. Also the substrate throughput values for conventional low-pressure ALD is too low for industrial acceptance.(1,2) In this work we present a process for AS-ALD of SiO2 using intermittent plasma etch-back steps to increase the selectivity above 10 nm film thickness.(3) In addition, the deposition process itself is performed in a spatial ALD reactor at atmospheric pressure which allows for achieving high throughput.(4)

AS-ALD of SiO2 on a substrate with pre-patterned SiO2 and ZnO areas was demonstrated using a highly chemo-selective inhibitor that chemisorbs preferentially on the non-growth area (ZnO) while allowing the deposition of SiO2 on the growth area (SiO2). In order to maximize the process selectivity, a blanket fluorocarbon plasma etch-back step was interleaved after every 110 ALD cycles. This way, selective SiO2 deposition up to ~ 30 nm film thickness was demonstrated. Furthermore, X-ray Photoelectron Spectroscopy was carried out to verify the selectivity of the process: no Si was detected (detection limit 0.3 at. %) on the non-growth area, demonstrating the high selectivity of the process.

The process presented here combines selective inhibitor chemisorption, plasma-based spatial ALD with high deposition rates and plasma etch-back steps to correct for selectivity loss. This approach is compatible with roll-to-roll and sheet-to-sheet concepts and can therefore enable high-throughput AS-ALD on large-area and flexible substrates.

[1] A. Mameli, et al., ACS Nano, 11, 9303 (2017).

[2] F.S.M. Hashemi, et al., ACS Nano, 9, 8710 (2015).

[3] R. Vallat, et al., J. Vac Sc. Technol. A, 35, 01B104 (2017).

[4] P. Poodt, et al., Adv. Mater., 22, 3564 (2010).

9:20 AM AP+2D+EM+PS+TF-MoM-4 Mechanisms of Precursor Blocking during Area-selective Atomic Layer Deposition using Inhibitors in ABC-type Cycles
Marc Merkx (Eindhoven University of Technology, The Netherlands); Dennis Hausmann (Lam Research Corporation); Erwin Kessels (Eindhoven University of Technology, The Netherlands); Tania Sandoval (Universidad Técnica Federico Santa María, Chile); Adrie Mackus (Eindhoven University of Technology, The Netherlands, Nederland)

The development of new processes for area-selective atomic layer deposition (ALD) is currently motivated by the need for self-aligned fabrication schemes in semiconductor processing. For example, area-selective ALD processes for dielectric-on-dielectric deposition are being considered for fully self-aligned via (FSAV) fabrication schemes in advanced interconnect technology.

Instead of solely relying on surface functionalization prior to ALD, an novel strategy to area-selective ALD involves the dosing of inhibitor molecules during every cycle in an ABC-type recipe.1,2 By using small molecules that can be dosed in vapor-phase as inhibitor, this approach is compatible with industrial process flows. Moreover, the reapplication of the inhibitor molecules during every cycle allows for the use of a plasma as the co-reactant, which broadens the range of materials that can be deposited selectively. In contrast to conventional approaches to area-selective ALD based on self-assembled monolayers (SAMs), very little is known about how small inhibitor molecules can block the ALD growth.

In this contribution, insight into the mechanisms of precursor blocking by inhibitor molecules as obtained from in-situ Fourier transform infrared spectroscopy (FTIR) and density functional theory (DFT) calculations will be discussed. Area-selective ALD of SiO2 using acetylacetone (Hacac) as inhibitor will be described as a model system, illustrating various mechanisms that can contribute to the loss of selectivity. It was found that at saturation, Hacac adsorbs through a mixture of chelate and monodentate bonding configurations. Hacac in monodentate configuration is displaced from the surface when exposed to bis(diethylamino)silane precursor molecules, which limits the selectivity. Strategies for improving the selectivity based on the understanding from these studies will be discussed.

1 A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.M.M. Kessels, and A.J.M. Mackus, ACS Nano 11, 9303 (2017).

2 A.J.M. Mackus, M.J.M. Merkx, and W.M.M. Kessels, Chem. Mater. 31, 2 (2019).

9:40 AM AP+2D+EM+PS+TF-MoM-5 Area-Selective Deposition of TiO2 using Isothermal Integrated Atomic Layer Deposition and Atomic Layer Etching in a Single Reaction Chamber
Gregory Parsons, Seung Keun Song, Holger Saare (North Carolina State University)
Several new approaches are emerging where chemical etching is being coupled with atomic layer deposition to achieve area-selective deposition of dielectrics and metals. During ALD, selectivity is generally lost when undesired nuclei form on the targeted non-growth surface. These undesired nuclei can sometimes be removed by periodic etching, improving the overall selectivity. However, it is not known to what extent these coupled deposition/etching sequences can proceed while maintaining good selectivity. As desirable deposition and etching reactions proceed, other changes in the process can occur to enhance unwanted nucleation and/or impede desired etching, thereby limiting the net selectivity. Recent experiments in our lab have used in-situ probes to explore coupled thermal ALD and ALE super-cycles, performed sequentially under isothermal conditions in a single reaction chamber, to achieve area selective deposition of TiO2 on SiO2 with hydrogen-terminated silicon (100) as the desired non-growth surface. We find that as ALD/ALE super-cycles proceed, small changes occur in the ALD and ALE reactions, particularly during the transition from ALD to ALE, or from ALE to ALD. Also, modeling studies allow us to quantitatively analyze the ASD results and compare our findings to other known approaches. These insights will be helpful to understand opportunities and challenges in advanced atomic scale reactions and process implementation.
10:20 AM BREAK
10:40 AM AP+2D+EM+PS+TF-MoM-8 Area-Selective Atomic Layer Deposition of Metal Oxides on an Inhibitor-Functionalized SiO2 Surface
Wanxing Xu (Colorado School of Mines); Paul Lemaire, Kashish Sharma, Dennis Hausmann (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

The continued downscaling of modern semiconductor devices together with the incorporation of 3D architectures places new constrains on conventional lithography techniques. To enable further advances in patterning process, new techniques will be required for next-generation devices to overcome the challenges of limiting the growth of desired materials in a specific area. One method to address these issues is area-selective atomic layer deposition (ALD), which provides the opportunity to build defined patterns from the bottom-up at the atomic-level accuracy. In this study, we will focus on area-selective ALD of metal oxides including ZrO2 and Al2O3 with a metal as the growth surface and inhibitor-functionalized SiO2 as the non-growth surface. To inhibit ALD, the SiO2 surfaces were functionalized with aminosilane inhibitors through the vapor phase or with a solution-based method. The functionalized SiO2 surfaces were characterized by transmission Fourier transform infrared (FTIR) spectroscopy, ellipsometry, and water contact angle measurements. Metal oxides including ZrO2 and Al2O3 were deposited by ALD using metal precursors and H2O over a temperature range of 150-250 °C. In situ attenuated total reflection FTIR spectroscopy was utilized to identify the surface reactions sites and absorbed surface species during ALD. In addition, the corresponding film growth was measured using in situ four-wavelength ellipsometry.

Using in situ optical diagnostics, we show the mechanism for the breakdown in selectivity during area-selective ALD on a SiO2 surface that is functionalized with aminosilanes. The infrared spectra show that aminosilane inhibitors react with almost all of the surface –SiOH groups forming Si–O–Si–R bonds on the surface (see Figure 1). After repeated exposure of the functionalized SiO2 surface to TEMAZ and ZTB, these precursors react with Si–O–Si bonds without surface –SiOH groups (see Figure 2). Although small growth in the first few ALD cycles is not detected by in situ ellipsometry, growth inhibition breaks down after an increased number of ALD cycles. These results suggest that it is an additional requirement to suppress other reactions with a higher activation energy barrier during ALD expect removing main surface reactive sites through surface functionalization. To further impede growth of metal oxides on functionalized SiO2 surface, a two-step functionalization method was developed to passivate the SiO2 surface while providing additional steric blocking for the underlying substrates. Comparative studies were carried out to evaluate the effect of different functionalization methods on suppressing the nucleation during ALD.

View Supplemental Document (pdf)
11:00 AM AP+2D+EM+PS+TF-MoM-9 Area-selective Deposition Achieved in a Continuous Process using Competitive Adsorption
Taewon Suh, Yan Yang, Ka Lao, Robert DiStasio, Jr., James Engstrom (Cornell University)

A significant challenge for single-nm fabrication technologies is the development of area selective deposition (ASD) processes, particularly for device structures with exposed metallic and dielectric surfaces on patterned, often three dimensional, substrates. A number of techniques have been proposed and examined for ASD processes, particularly with respect to ALD, and these include the use of “permanent” blocking layers in the form of SAMs, and repetitive deposition/etch cycles. Some success has been reported with these techniques, but they possess potential drawbacks. An ideal ASD process should be fast, preferably vapor phase, and leave no residue on the non-growth surface. One technique that can possibly provide this is the use of competitive adsorption to induce area selective deposition, where a co-adsorbate is chosen that will bind much more strongly to one surface vs. another. A significant challenge concerning this approach is avoiding direct reactions between the co-adsorbate and the thin film precursor in the case of ALD, and also the co-reactant in the case of CVD. We are coupling quantum mechanical calculations of co-adsorbate/thin-film precursor/substrate interactions with experiments using our coupled micro-reactor/UHV surface analysis system. We have examined the effect of a class of unsaturated hydrocarbons as co-adsorbates on the CVD growth of ZrO2 thin films using a Zr amido-coordination complex as the thin film precursor and O2 as the co-reactant. The substrates were SiO2 and Cu, and we examined the effects of both temperature, Ts = 120-240 °C, and the partial pressure of the co-adsorbate hydrocarbon. DFT calculations predict that the binding energies of these hydrocarbons are at least a factor of two larger on Cu vs. those on SiO2. For CVD growth of ZrO2 thin films as thick as 22 nm (growth rates of ~ 1 nm-s-1), we find that the co-introduction of the hydrocarbon results in linear growth with time on a SiO2 substrate, with no apparent incubation time, while essentially no growth is observed on Cu. In situ, post-deposition analysis with XPS reveals ZrO2 thin films on SiO2, and only adventitious carbon and less than a monolayer of Zr on the Cu surface. Consistent with a model based on competitive adsorption, we find that selectivity is eventually lost at sufficiently high substrate temperatures or sufficiently low partial pressures of the hydrocarbon co-adsorbate. Finally, we will report on the CVD growth on patterned Cu/SiO2 substrates where we observe deposition only on those areas covered by SiO2. We will conclude with a discussion of the promise and challenges of this approach for ASD concerning both ALD and CVD processes.

11:20 AM AP+2D+EM+PS+TF-MoM-10 Surface Chemistry during Plasma-Assisted ALE: What Can We Learn from ALD?
Sumit Agarwal (Colorado School of Mines)

Due to ever decreasing device dimensions and the introduction of 3D device architectures, it is challenging to operate within a narrow processing window using conventional plasma etching. One method to address the demands of the next-generation of devices is atomic layer etching (ALE) which provides high fidelity, selectivity, and directionality, and layer-by-layer removal. Plasma-assisted ALE has been extensively studied for a variety of materials, including Al2O3, HfO2, Si, and Si‑based dielectrics. Plasma-assisted ALE of SiO2 or SiNx typically uses two sequential steps in a cyclic fashion: CFx deposition from a C4F8/Ar plasma followed by an Ar plasma activation step. However, the surface chemistry during plasma ALE is not well understood. In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy and in situ four-wavelength ellipsometry during ALE to monitor the surface reactions, film composition, as well as the net film thickness. Similar to area-selective atomic layer deposition, we show that surface functionalization prior to ALE can be used to alter the etch per cycle. Using this methodology, I will discuss how selective functionalization of SiO2 or SiNx can be used to alter the selectivity during plasma-assisted ALE.

Session Abstract Book
(288KB, Apr 26, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic AP Sessions | Time Periods | Topics | AVS 66 Schedule