AVS 66 Session TF-ThP: Thin Films Poster Session

Thursday, October 24, 2019 6:30 PM in Room Union Station AB

Thursday Evening

Session Abstract Book
(391KB, Apr 26, 2020)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS 66 Schedule

TF-ThP-1 Oxygen Partial Pressure Dependence of Structural and Photoluminescence Properties in Eu3+ doped Tantalum based Double-perovskite Thin Film
Jung Hyun Jeong, Ju Hyun Oh, Byung Chun Choi, Jung Hwan Kim, Sung Yong Seo (Pukyong National University, Republic of Korea); Kiwan Jang (Changwon National University, Republic of Korea)
In this study, we investigated the structural and photoluminescence properties of Eu3+ doped SrLaMgTaO6 (SLMTOE) double-perovskite thin films depending on the oxygen partial pressure. The X-ray diffraction patterns were examined to determine the growth behaviors of the SLMTOE films on the SrTiO3 (100) substrates. In the oxygen partial pressure range of 10-200 mTorr, the SLMTOE thin films were aligned with the SrTiO3 (001) substrate. The SLMTOE films grown at 100 mTorr have a low crystallinity but emitted the strongest red light corresponding to 5D0-7F2 transition (Eu3+). Based on this sample, the behavior of PL intensity was different at low oxygen partial pressure (10-100 mTorr) and high oxygen partial pressure (100-200 mTorr). To figure out the different PL intensity behavior, we performed the x-ray photoelectron spectroscopy (XPS). Our XPS result implies that the enhancement of PL intensity at low partial pressures is associated with defects in the thin film lattice, and the weakening of PL intensity at high partial pressures is due to the change in the ratio of Eu3+ to Eu2+ by the self-reduction process.
TF-ThP-2 Influence of the Crystal Structure on Photoluminescence Properties of Dy3+ and Pr3+ Doped Rare-earth Oxyorthosilicates (R2SiO5) (R = La, Gd, Y) Thin Film Phosphors
S.N. Ogugua, H.C. Swart (University of the Free State, South Africa); O. Martin Ntwaeaborwa (University of the Witwatersrand, South Africa)

Phosphors have many technological uses in applications such as solid-state lighting, optical laser, data storage, medicine, quantity control, scintillation, advertising, solar cells, theft prevention, etc. We have prepared a series of praseodymium (Pr3+) and dysprosium (Dy3+) doped mixed rare-earths oxyorthosilicate phosphors with a general formula R2SiO5 hosts (R = La, Gd or Y) using the solution combustion method. By varying the molar ratio of La to Y and Gd to Y, we modified the unit cells and the crystal field strengths of the host lattices. This modification of the crystal field of the host lattice can lead to the shifting of the emission wavelengths, increase of the rate of radiative transitions, and minimization of energy loss by non-radiative transitions. We evaluated the crystal structure and photoluminescence properties of Pr3+/Dy3+ doped R2SiO5 (R = La, Gd or Y) in powder and laser ablation deposited thin film forms. We varied several deposition parameters including partial pressure of gas (O2 and Ar), type of laser pulse, and substrate temperature using the 1064 nm Nd:YAG solid state laser. The effects of varying the La to Y/Gd ratios on the field strengths of the host lattices and the influence of the various deposition conditions on the emission colour and photoluminescent intensities will be discussed.

TF-ThP-3 Transparent Hybrid Thin-Films of Copper-Mesh and Conductive Polymers for ITO-Free Organic Light-Emitting Diodes
Kwon Taek Lim, Yong Hyun Kim (Pukyong National University, Republic of Korea); Se-Young Jeong (Pusan National University, Republic of Korea); Min Kyo Jeong, Sung Yong Seo, Jung Hyun Jeong (Pukyong National University, Republic of Korea)

We report on highly transparent conductive electrodes based on copper (Cu)-mesh structures combined with conductive polymer films. The hybrid transparent electrodes show outstanding optical and electrical properties (transmittance of 81.7 % at a wavelength of 550 nm, sheet resistance of 100.7 ohm/sq). The effective current collecting property of metal mesh structures as well as the excellent current spreading property of the conducting polymer enables the high performance of the hybrid transparent electrodes. Organic light-emitting diodes (OLEDs) employing the hybrid transparent electrodes results in 2.0-fold enhanced current and power efficiencies, compared to the control polymer electrode-based OLED without current collecting metal mesh structures. The results present that Cu-mesh structures combined with conductive polymer films can be a promising transparent conductive electrode for highly efficient low-cost, flexible indium tin oxide-free OLEDs.

TF-ThP-4 Fabrication of IrO2/Pt Composite Films by Pulsed-dc Magnetron Sputtering and Plasma-enhanced Atomic Layer Deposition
Chao-Te Lee, Yu-Hsuan Yu, Wen-Hao Cho, Wei-Chun Chen, Hung-Pin Chen (Taiwan Instrument Research Institute, Taiwan)

The IrO2/Pt films were prepared on Si substrate by pulsed-dc magnetron sputtering and plasma-enhanced atomic layer deposition (PEALD), respectively. The IrO2 film was prepared from a high purity Ir target and deposited on Si substrate at room temperature with various working pressure, gas ratio (Ar/O2 ratio) and pulse frequencies (10~100 kHz) by a pulsed-dc magnetron sputtering. Effects of process parameters on the film composition, microstructure, surface roughness, and electrical properties were investigated by field emission scanning electron microscopy with energy dispersive X-ray spectroscopy (FESEM-EDX), X-ray diffraction (XRD), high resolution transmission electron microscopy (HRTEM), atomic force microscopy (AFM), and cyclic voltammetry (CV) measurement, respectively. The nanoblade structure of the IrO2 film was prepared at a working pressure was 20 × 10−3 Torr, and Ar/O2 rate was 10. The Pt film was prepared on the nanoblade structure of IrO2 film by PEALD. Effects of plasma power, and thickness on the microstructure, and electrical properties of the IrO2/Pt films were investigated. The research goal is to obtain high charge delivery capacity (CDC) of the film. It is expected that the high quality IrO2/Pt film can be explicated in biomedical technology.

TF-ThP-5 The Effect of Deposition Parameters on the Optical and Electrical Properties of MoO3/Ag/Mo/ MoO3 Films by Reactive rf Magnetron Sputtering
Chao-Te Lee, Wei-Chun Chen, Hung-Pin Chen (Taiwan Instrument Research Institute, Taiwan); Chang-Chung Jaing (Minghsin University of Science and Technology, Japan)

Stacked MoO3/Ag/Mo/MoO3 (MAMM) films were deposited on glass substrate as ITO-free and electrochromic applications. The effects of the thickness of Mo layer on the electrical and optical properties of the MAMM films were examined by the four-point probe system and a spectrophotometer. The resistivity of MAMM films was decreased with increasing the thickness of Mo layer. The resistivity of the films were 5000 and 3X10-5 Ω/cm when the thickness of Mo layer was 1 and 2 nm, respectability. It was found that the ITO-free MAMM film as the Mo layer is over 2 nm. The luminous transmittance of MAMM films was decreased with increased the thickness of Mo layer. After the optical simulated, and prepared the electrochromic MAMM films, the MAMM films for hot-mirror and electrocromic applications was also investigated.

TF-ThP-6 Effect of Sintering Conditions on Characteristic of BaFe2(PO4)2 and Ceramic Target Production for Thin Films
Jung Hwan Kim, Beon Sung Jung, Jung Hyun Jeong, Sung Yong Seo (Pukyong National University, Republic of Korea); Kiwan Jang (Changwon National University, Republic of Korea)
Inorganic compounds composed of low-dimensional ferromagnets exhibit fascinating properties and provide a rich opportunity to investigate the ferromagnetic states, phase transitions, magnetic transitions and magnetization phases. Among them, BaFe2(PO4)2 (BFPO), which is a two-dimensional ferromagnetic material, has a special structural and magnetic structure at low temperature. Anomalous distortion at about 150K causes a structural phase transition from rhombohedral(R ) to triclinic(P ). However, further cooling results in a magnetic phase transition from paramagnetic to ferromagnetic at about 80K, and at the same time, it returns to the original rhombohedral(P →R ). The change of structural phase transition and the change of band gap in the sintering process of BFPO. This study could be a preliminary study on the fabrication of ceramic targets for thin film deposition of BFPO.
TF-ThP-7 Development of Thin Film of Ferric Hydroxide Dispersed in Polymer Matrix Doped with Ethylenediamine
Sergio Henrique Fernandes, Leandro Tiago Manera, Helder José Ceragioli (UNICAMP, Brazil)

The present work presents the development of a thin film based on ferric hydroxide (Fe(OH)3) dispersed in a polymer matrix of polyvinyl acetate (PVA) containing ethylenediamine (H2N-(CH2)2-NH2) to form a membrane phosphate in solution. Ferric hydroxide was obtained from the stoichiometric reaction of iron (FeCl3) perchloride with ammonium hydroxide (NH4OH), and after washing, filtration and drying the Fe(OH)3 was diluted with ethyl alcohol to add to ethylenediamine doped PVA. The thin film for the formation of the membrane was obtained by the spin-coating method. For the selectivity tests, the membrane was applied in a semiconductor insulating electrolyte (EIS) device to be used as hydrogen phosphate sensor. The EIS device is formed by the selective membrane deposited on a structure composed of a thin layer of silicon oxide on the silicon substrate, and a gold-plated tungsten micro tip as the reference electrode. The thin film composing the selective membrane was characterized structurally by elliptiometry, Raman spectroscopy, X-ray diffraction, and atomic force microscopy (AFM). In order to evaluate the selectivity of the thin film of the membrane, the electrical characterization of the EIS device was carried out, obtaining the voltage capacitance curves for the pH variation and for the variation of the hydrogen phosphate concentration in solution, which showed a result in the sensitivity of 143 mV/pH and sensibility in the measurement of the hydrogen phosphate concentration of 42 mV/mg/dL.

Keywords— ferric hydroxide; hydrogen phosphate; thin films; selective membrane

TF-ThP-8 Dual-temperature Atomic Layer Deposition of HfO2/Al2O3 on In0.53Ga0.47As
Changmin Lee, Sungho Choi, Youngseo An, Woohui Lee, Wan Oh, Deokjoon Eom, Jehoon Lee, Hyoungsub Kim (Sungkyunkwan University, Republic of Korea)

In1-xGaxAs has been considered to be one of the promising candidates for future n-channel metal-oxide-semiconductor field-effect transistors (MOSFETs) [1]. However, due to its poor interface quality with the high-k gate dielectrics (e.g., high interface state density, Dit), Al2O3 has been used preferably as an interface passivation layer under the HfO2 gate dielectric with a higher k value [2-5]. Most recently, Choi et al. [6] reported that low-temperature atomic layer deposition (ALD) of a HfO2 (4 nm)/Al2O3 (1 nm) stacked structure at 100 °C was effective in reducing both Dit and leakage current density. However, because they used an identical ALD temperature for both HfO2 and Al2O3 layers, the capacitance equivalent thickness (CET) of the HfO2/Al2O3 gate dielectric stack was significantly increased [6].

In this presentation, we will introduce a dual-temperature ALD process for the HfO2/Al2O3 stacked gate dielectric to decrease the CET values while maintaining low Dit and leakage current density values. While the ALD temperature for the Al2O3 passivation layer (~1 nm) on a n-type In0.53Ga0.47As substrate was fixed at 100 °C, the following ALD temperature for the HfO2 layer (~4 nm) was varied from 100 to 300 °C to decrease the CET values. After the fabrication of MOS capacitors with a stacked gate dielectric structure, the effects of the ALD temperature for the overlaid HfO2 film on various electrical parameters and characteristics, such as CET, Dit, bulk trap density, and static/dynamic leakage currents, were studied.

[1] Shinichi Takagi, Rui Zhang, Junkyo Suh, Sang-Hyeon Kim, Masafumi Yokoyama, Koichi Nishi, and Mitsuru Takenaka, 2015, 545, 06FA01.

[2] A. O’Mahony, S. Monaghan, R. Chiodo, I.M. Povey, K. Cherkaoui, R. E. Nagle, É. O’Connor, R. D. Long, V. Djara, D. O’Connell, F. Crupi, M. E. Pemble, and P. K. Hurley, 2010, 33, 69.

[3] S. Monaghan, A. O’Mahony, K. Cherkaoui, É. O’Connor, I. M. Povey, M. G. Nolan, D. O’Connell, M. E. Pemble, P. K. Hurley, G. Provenzano, F. Crupi, and S. B. Newcomb, J. Vac. Sci. Techno. B, 2011, 29, 01A807.

[4] R. Suzuki, N. Taoka, M. Yokoyama, S. Lee, S. H. Kim, T. Hoshii, T. Yasuda, W. Jevasuwan, T. Maeda, O. Ichikawa, N. Fukuhara, M. Hata, M. Takenaka, and S. Takagi, Appl. Phys. Lett., 2012, 100, 132906.

[5] K. Ohsawa, S. Netsu, N. Kise, S. Noguchi, and Y. Miyamoto, Jpn. J. Appl. Phys., 2017, 56, 04CG05.

[6] S. Choi, J. Song, Y. An, C. Lee, and H. Kim, J. Korean Phys. Soc., 2018, 72, 283.

TF-ThP-9 Conformal CVD of Hf1-xVxB2 from Two Precursors: Control of Composition x in Deep Trenches
Kinsey Canova, Gregory Girolami, John Abelson (University of Illinois at Urbana-Champaign)

Fabrication of device interconnects in the high aspect ratio features of modern electronics requires highly conformal, electrically conductive films. HfB2 is a metallic ceramic which can be deposited with excellent conformality at low temperatures using the single-source CVD precursor Hf(BH4)4; conformality is due to the kinetic saturation of growth rate at precursor pressures of a few mTorr. Here we report the conformal growth of Hf1-xVxB2 alloys by adding a co-flow of the vanadium precursor V[N(CH3)2]4. This alloy is of special interest for its reported superconducting transition near 7 K.

Alloy compositions previously investigated were limited to the solubility limit of ~ 4 % V in HfB2. In our low-temperature process, however, metastable compositions with excess V can be obtained, and the film stoichiometry x is controlled by relative reaction rates of the two precursors. We report the compositional variation versus precursor flux, which is then used to develop a kinetic model of growth based on the adsorption and reaction rates for both precursors. This model is extended to estimate compositional variation vs. depth z in a deep trench and tested by coating macro-trenched substrates. We also report the electrical resistivity from ambient to cryogenic temperatures.
TF-ThP-10 Catalyst-enhanced Chemical Vapor Deposition of Titanium-doped MgB2 Thin Films
Xiaoqing Chu, Yu Yang, Christopher Caroff, Gregory Girolami, John Abelson (University of Illinois at Urbana-Champaign)

We report a CVD process to deposit titanium-doped polycrystalline MgB2 films at low temperatures (≤ 400 °C) using Mg (DMADB)2, a highly volatile Mg precursor. The low growth temperature assures that the film does not lose Mg by evaporation, which occurs above 400 °C. When used alone, however, this precursor requires higher temperatures in order to react (it is slightly too stable chemically). We show that CVD proceeds at temperatures as low as 300 °C upon addition of the analogous Ti precursor, Ti(DMADB)2. We identify Ti(DMADB)2 as a catalyst because each Ti molecule drives the decomposition of up to 4 Mg(DMADB)2 molecules. With a high precursor to catalyst pressure ratio, the films are stoichiometric (metal : boron = 1 : 2), and the concentration of oxygen, carbon, and nitrogen is each below the detection limit of ~ 1 at. %. For a film grown at 350 °C, the stoichiometry determined by RBS is Mg0.82Ti0.18B2; it is well crystallized; and the room temperature resistivity is a few hundred µΩ·cm. Literature reports suggest the possibility of superconductivity at T = 10-33 K depending on the doping levels of Ti.


TF-ThP-11 Computational Simulation of Novel Pyroelectric Infrared Detectors and Their Integration with Silicon
Ashok Batra, George Taylor, James Sampson (Alabama A&M University)
Pyroelectric infrared detectors (PIR) of are fast-response thermal sensors operating at ambient temperature unlike semiconductor detectors, which require cooling. Their spectral response is uniform in a wide range of wavelengths, including the main band of IR transmission within the earth's atmosphere. A further increase in pyroelectric response is possible by integrating pyroelectric sensors with silicon technology. The unique structures of PIR can the most sensitive among known structures. Effective sensitivity and performance depend not only on the pyroelectric sensor element material characteristics, but also on the thermal performance of the complete structure of a detector, such as substrate material (Si), absorbing layer, and isolation layers including associated electronics. Thus, we have calculated the thermal transfer function by solving a one-dimensional thermal diffusion equation for a single element n-layer structure. From which the performance of any number of layers detector structure can be derived, predicted, and optimized; the calculated and predicted responsivity and other parameters of integrated detector system will be presented.icon Technology

TF-ThP-12 Kinetically Stabilized Growth of InN by MEPA-MOCVD
G. Brendan Cross, Zaheer Ahmad (Georgia State University); Daniel Seidlitz (Technische Universität Berlin, Germany); Mark Vernon, Alexander Y. Kozhanov (Georgia State University)
We report on kinetically stabilized indium nitride grown on sapphire and gallium nitride, by migration enhanced plasma assisted metal organic chemical vapor deposition (MEPA-MOCVD). Deposition is studied over a range of temperatures, pressures, flows and plasma power, outside the range of indium nitride grown in conventional MOCVD. Raman and FTIR spectroscopy, XRD and atomic force microscopy are used to explore the crystalline quality, growth rate, and surface morphology change with these parameters.

TF-ThP-13 Structure Characterization of PECVD a-SiCN:H Thin Films: Toward Machine Learning Algorithms for Modeling of Complex Disordered Solids
Sai Siva Kumar Pinnepalli, Christopher Burkett (University of Missouri-Kansas City); Jinwoo Hwang (Ohio State University); Nathan Oyler, Michelle M. Paquette (University of Missouri-Kansas City)

Plasma-enhanced chemical vapor deposition (PECVD) is a routinely employed process in thin-film technologies. Despite its array of advantages and affordability, it suffers from the lack of systematic principles to define growth conditions for an intended output. A deeper understanding of plasma processes is necessary for rational design and strategic synthesis of robust materials spanning a broad spectrum of applications. The properties of these materials are highly dependent on structure; and the structure varies as a function of growth conditions. Interpreting or predicting the effects of PECVD process variables such as temperature, pressure, flow rate and plasma power density on structural features of thin-films is a formidable task. The traditional ‘cook and quench’ molecular dynamics approach is incapable of replicating the relatively longer time scales and non-thermodynamic nature of the actual experiment. An alternative approach entails advanced machine learning algorithms applied not to reproduce, but rather to map the process-structure-property correlations. However, this requires training data in the form of empirically determined chemical models obtained under known process conditions. Here, PECVD grown amorphous hydrogenated SiCN thin films obtained from structurally different molecular precursors are studied to compile such a data set due to their stability, scope for precursor synthesis, and compatibility with various characterization techniques: FT-IR, solid-state NMR, fluctuation electron microscopy (FEM), as well as X-ray and neutron diffraction. We present the effects of process parameters on a-SiCN:H thin films, extensive structure and property characterization, and propose chemical structure models.

TF-ThP-14 Growth of Hafnium Oxide and Zirconium Oxide for the Fabrication of Electronic Devices Using Plasma-Enhanced Atomic Layer Deposition
Samuel Banks, Kessy Bell, Sam Chance III, Breonna Rodgers, Zhigang Xiao (Alabama A&M University)

Hafnium dioxide (HfO2) and zirconium oxide (ZrO2) have been used widely as the gate oxide in the fabrication of integrated circuits (ICs) because of their high dielectric constants. In this research, we report the growth of hafnium dioxide (HfO2) and zirconium oxide (ZrO2) thin film using plasma-enhanced atomic layer deposition (PEALD), and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using the PEALD-grown HfO and ZrO thin films as the gate oxide. The PE-ALD-grown films were analyzed using high-resolution transmission electron microscopy (HRTEM) and energy dispersive X-ray spectroscopy (EDS). MOSFETs, CMOS inverters, and CMOS ring oscillator were fabricated, and the electrical properties of the fabricated devices were measured. The measurement results on the devices fabricated with the two films were compared.

TF-ThP-15 Nanoscale Multilayered Thin-Film Thermoelectric Materials and Devices
Joevonte Kimbrough, Abigayle Glenn, Alandria Henderson, Satilmis Budak, Zhigang Xiao (Alabama A&M University)

In this research, we report the growth of nanoscale multilayered thermoelectric thin films and fabrication of integrated thermoelectric devices for high-efficiency energy conversion and solid-state cooling. Nanoscale multilayered thin films such as Sb/Sb2Te3 and Te/Bi2Te3 thin films were grown using the e-beam evaporation. Integrated thermoelectric devices were fabricated with the nanoscale multilayered thin films using the clean room-based microfabrication techniques such as UV lithography. X-ray diffraction and reflection and high-resolution tunneling electron micrograph (HR-TEM) were used to analyzed the e-beam-grown nanoscale multilayered thin films. SEM was used to image and analyze the fabricated devices. The thermoelectric characteristics of the fabricated devices were measured and analyzed.

TF-ThP-16 Microstructural Evolution in Sputter-deposited 316L Stainless Steel / Si (100) Thin Films
Christopher Bansah, C. Virgil Solomon (Youngstown State University)

This study investigates effect of substrate temperature on the phase and microstructural evolution of 316L stainless steel thin film. The 316L SS thin film were prepared on a Si (100) substrate by using dc magnetron sputtering in an argon atmosphere. The substrate temperature was increased from 293 K to 673 K. X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), focused ion beam (FIB), and transmission electron microscopy (TEM) and were used to investigate the phases and microstructure of the thin films. The phase and microstructural analysis reveal that films deposited at room temperature showed amorphous or disordered structure. The disordered structure was attributed to the insufficient thermal activation energy for atom mobility during low temperature deposition. By increasing the substrate temperature the thin film become crystalline and the grain size increased with the temperature.

TF-ThP-18 Characterization of Fluorine-doped SiO2 Films Deposited by Magnetron Sputtering
Bohuei Liao (Taiwan Instrument Research Institute); Chien-Nan Hsiao (Taiwan Instrument Research Institute, Taiwan, Republic of China)

Fluorine-doped SiO2 films were deposited by magnetron sputtering with a Si metal target at room temperature. In order to obtain better optical and mechanical properties, films were investigated under different ratios of O2 to CF4 gas. The optical properties, microstructure, surface roughness, and crystalline structure, of fluorine-doped SiO2 films have been studied. The transmittance increased as increasing the CF4 gas in the ultraviolet range. The refractive index decreased as increasing the CF4 gas.

TF-ThP-19 MOCVD Growth and Characterization of Wide Bandgap ZnGeN2 Thin Films
Md Rezaul Karim (The Ohio State University); Benthara Hewage Dinushi Jayatunga (Case Western Reserve University); Zixuan Feng, Menglin Zhu, Jinwoo Hwang (The Ohio State University); Kathleen Kash (Case Western Reserve University); Hongping Zhao (The Ohio State University)

ZnGeN2 is a wide bandgap material having less than 0.1% lattice mismatch and similar bandgap as GaN [1]. Based on first principles calculations, the valence band maximum of ZnGeN2 is ~1.4 eV above that of GaN at the heterointerface [2]. Such a staggered band alignment between two closely lattice-matched materials has promising applications for novel optoelectronic device designs, for example, high efficiency blue and green light emitting diodes [3]. However, the thin film synthesis of ZnGeN2 is still at an early stage, in contrast to the mature GaN.

Here, we study the growth of ZnGeN2 thin films using metalorganic chemical vapor deposition (MOCVD) and characterization of the crystalline, optical, and electrical properties. Diethylzinc (DEZn), germane (GeH4) and ammonia were used as the precursors for Zn, Ge and N, respectively, and GaN templates and sapphire were used as substrates. The Zn/Ge atomic ratios in ZnGeN2 were determined from energy dispersive X-ray spectroscopy. They were found to decrease with increase in growth temperature (from 600 to 700 °C) but to increase with increase in pressure (from 300 to 500 Torr) and DEZn/GeH4 molar flow rate ratio. The X-ray diffraction 2θ-ω spectra of the ZnGeN2 films are consistent with orthorhombic (perfectly ordered cations) or distorted wurtzite (disordered cations) polymorphs. High resolution scanning transmission electron microscopy imaging was used to investigate the crystalline quality and crystalline structure of the films. The ZnGeN2 films grown on c-sapphire and GaN substrates have planar surfaces from scanning electron micrographs while those on r-sapphire substrate have stepped surface morphologies. A broad peak at ~2.05 eV was observed from room temperature photoluminescence (PL) spectra and is was attributed to transitions involving deep level defects. The PL excitation spectra peaked around 3.4 eV, and is attributed to excitonic enhancement of the absorption near the band gap. The as-grown films were found to be n-type with 1018 -1019 cm-3 carrier concentrations and room temperature mobilities up to 17 cm2/V·s.

In summary, the studies from this work on the MOCVD growth of ZnGeN2 thin films are a step towards the better understanding of this material and thus, towards the implementation of ZnGeN2 for device applications.

Acknowledgements

The authors acknowledge funding support from the National Science Foundation (DMREF-1533957).

References

1. A. Punya, T. R. Paudel, and W. R. L. Lambrecht, Phys. Status Solidi C, 8, 2492 (2011).

2. A. P. Jaroenjittichai, S. Lyu, and W. R. L. Lambrecht, Phys. Rev. B., 96, 079907(E) (2017).

3. L. Han, K. Kash, and H. Zhao, J. Appl. Phys., 120, 103102 (2016).

View Supplemental Document (pdf)
TF-ThP-20 Low Temperature Charging Dynamics of Ionic Liquid and Its Gating Effect on FeSe0.5Te0.5 Superconducting Films
Cheng Zhang (University of Tennessee Knoxville); Wei Zhao, Sheng Bi (Huazhong University of Science and Technology, China); Christopher Rouleau, Jason Fowlkes (Oak Ridge National Laboratory); Walker Boldman (University of Tennessee Knoxville); Genda Gu, Qiang Li (Brookhaven National Laboratory); Guang Feng (Huazhong University of Science and Technology); Philip D. Rack (University of Tennessee Knoxville)

Ionic liquids (ILs) have been investigated extensively due to its unique ability to form the electric double layer (EDL) which induces high electrical field. For certain materials low temperature IL charging is needed to limit the electrochemical etching. Here we report our investigation of the low temperature charging dynamics in two widely used ILs – DEME-TF2N and C4mim-TF2N. Results show that the formation of the EDL at ~220 K requires several hours relative to milliseconds at room temperature, and an equivalent voltage Ve is introduced as a measure of the EDL formation during the biasing process. The experimental observation is supported by molecular dynamic simulation, which shows that the dynamics are logically a function of gate voltage, time and temperature. To demonstrate the importance of understanding the charging dynamics, a 140 nm thick FeSe0.5Te0.5 film was biased using the DEME IL, showing a tunable Tc between 18 and 35 K. Notably, this is the first observation of the tunability of the Tc in thick film FeSe0.5Te0.5 superconductors.

View Supplemental Document (pdf)
TF-ThP-21 Design and Characterization of Nanomaterials using PREVAC’s Research Platforms
Lukasz Walczak (PREVAC sp. z o.o., Poland)

Magnetics, optoelectronics, energy storage and renewables, catalysis and nanoelectronics, semiconductors, new graphene-type materials and their surface are under intensive investigation of many research groups [1-3]. The wide variety of novel technologies and materials available, precise, well defined scientific problems or proprietary production recipes demand customized analysis and deposition systems.

Innovative and compact PREVAC surface analysis platform as part of multi-technique surface analysis system will be presented, in order to permit complete characterization of nanomaterials in the UHV and ambient pressure conditions. We will report some results from these systems.

Also we introduced PREVAC deposition platforms, based on well tested MBE system technology, offering a high quality and stable UHV performance. Compact construction allows the connection of different deposition sources at versatile configurations as well as the incorporation of RHEED, inventive alternative GIFAD [4] and other analysis techniques.

As the next deposition platform the sputtering systems for depositing metal and dielectric thin films on substrates at the different temperature will be shown. A range of magnetron sputtering sources, using RF, DC, or pulsed DC power, can be operated in the multimode by SYNTHESIUM software for producing thin films.

Finally we describe PREVAC’s PLD systems. Typically it is used with a focused pulsed excimer laser to vaporize a small section of a solid target material in a vacuum chamber in order to produce thin-films. Standalone configuration or as part of a larger integrated research system, system is fully automated. The transfer system features a six position target manipulator which allows transfer of both target and substrate holders for simple and efficient operation.

References:

1. L. K. Preethi, et al., Sci. Rep. , 14314 (2017)

2. M. Weis, et al., Sci. Rep. 7, 13782 (2017)

3. N. M. Freitag et al., Nature Nanotechn. 13, 392-397 (2018)

4. A. Momeni et al. J. Phys. Chem. Lett., 9, 908–913 (2018)

TF-ThP-22 Deposition of the Porous Film on the Reactive Liquid Substrate via Metal-organic Precursors
Haoming Yan, Qing Peng (University of Alabama)
Solid on liquid deposition (SOLID) has been achieved and reported for a few times. It has potential in many applications, such as gas sensors, optical lens, selective deposition and etc. SOLID can also take the advantages of the nature of the liquid substrate, like the atomically smooth surface and the naturally formed shape of the liquid. However, the materials that can be deposited onto the liquid substrate are very limited. The reported material that can be deposited on a liquid substrate is mainly poly-para-xylylene via CVD and metals via sputtering. There is no report of using a reactive liquid as a substrate for the solid film deposition. In this work, the metal oxide film can be deposited onto the liquid substrate using the metal-organic precursors and the liquid that can react with them. This method creates more possibilities of the materials that can be deposited on the liquid substrate and potentially broaden the application of the SOLID.
TF-ThP-23 Self-Limited Surface Reaction between Trimethyl Aluminum and Formamidinium Lead Iodide Perovskite
Qing Peng, XiaoZhou Yu, Haoming Yan (University of Alabama)

Surface site-limited reaction is critical to modifying hybrid halide perovskites without destroying their bulk properties. However, no surface site-limited reaction on hybrid halide perovskites has been demonstrated and confirmed. Herein, we report one surface-site limited reaction on FA lead iodide with tri-methyl aluminum. The strong coordination between organic cations FA+and trimethyl aluminum, a very strong Lewis acid, is found to be the key for this self-limited reaction behavior. Our results provide a model system to understand the effect of surface species on surface reaction behavior on hybrid halide perovskites.

TF-ThP-24 The Evolution of Atomic Layer Processing as a Field: Atomic Layer Etching,and its Connections with Atomic Layer Deposition
Elsa Alvaro (Northwestern University); Angel Yanguas-Gil (Argonne National Laboratory)
Atomic layer processing, with its ability to manipulate materials with atomic layer precision, is becoming increasingly relevant for a wide range of applications. A counterpart to Atomic Layer Deposition (ALD), Atomic Layer Etching (ALE) allows controlled layer-by-layer removal of material by using self-limiting, sequential steps. This technique, which appeared in the 1990s, has garnered the interest of the semiconductor industry, where the ability to remove material selectively and in a self limiting way could help fabricate structures and devices at increasingly smaller dimensions. In this paper, we describe the trajectory of ALE, and its connection and overlap with ALD and other research fields. Using citation, network and text analysis methods, we have studied trends in topics, authors and publications. In addition, we have examined the overlap between ALD and ALE, using cocitations as a way of identifying papers that may draw from both fields. The ultimate goal is to understand not only the evolution of ALE, but whether ALD and ALE are effectively merging into a larger, atomic layer processing community.
TF-ThP-25 Electrical Properties of In2O3 Thin-film Transistors under Vacuum and Inert Environments
Keisuke Nakamura, Keisuke Sasaki, Yoshio Shibata, Kaito Oe, Shinya Aikawa (Kogakuin University, Japan)

Indium oxide (In2O3)-based thin-film transistor (TFT) have attractive much attention because high field-effect mobility (> 10 cm2/Vs) can be obtained even room temperature deposition. However, a high-temperature post-annealing is necessary for typical fabrication processes. This sacrifices the merit of In2O3-based TFTs that can be fabricated at low temperature. One reason for high-temperature treatment is that an In2O3 surface might be sensitive to ambient gases, thus, the electrical properties of the film is changed in various environmental conditions. In order to clarify such instability, we investigate the electrical properties of In2O3 TFT in ambient, vacuum and N2 environments.

The In2O3 TFT was fabricated on a Si substrate with a thermally-grown oxide layer (SiO2 thickness: 200 nm). Before deposition of In2O3 active channels, the substrate was ultrasonically cleaned in acetone and isopropyl alcohol, and was irradiated by an excimer lamp (wavelength: 172 nm) for 5 min to remove the organic residue. The In2O3 film was then deposited at room temperature by RF magnetron sputtering. The O2/(Ar + O2) ratio, RF power and total pressure during sputtering deposition were fixed at 25 %, 100 W and 0.24 Pa, respectively. The background pressure was below ~5 × 10−4 Pa. The thickness of the active channel formed through a stencil shadow mask was 20 nm by optimizing the deposition time. Source and drain electrodes (Cu: 100 nm) were then formed by an electron beam evaporation through a stencil shadow mask. The In2O3 TFT was characterized in a vacuum probe station with a semiconductor parameter analyzer (Agilent 4156A) at room temperature in the dark condition. The electrical measurement of the TFTs was performed in ambient at first, then the chamber was evacuated to ~4 × 10‒2 Pa for vacuum measurement. A N2 gas was subsequently introduced into the chamber to be N2 environmental condition.

The In2O3 TFT properties were drastically changed between ambient and vacuum conditions. This might be caused by desorption of excess oxygen in the film. To investigate a measurement environmental sensitivity in In2O3 TFT, a sequential I-V measurement was performed. The result showed that the transfer characteristics between 1st and 2nd measurement is obviously different. The degradation of the sensitivity after the 2nd measurement might be due to N2 molecule passivation. However, the sensitivity tended to slight recover with increasing the number of measurements. We will discuss the N2 passivation effect, and the relationship between the sensitivity and number of measurements.

TF-ThP-26 Toward Ultra-fast Switching Speed Electrochromic Supercapacitor
Weimin Jiao, Sichen Wei, Chaoran Chang, Fei Yao (University at Buffalo)

As one of the most promising energy storage devices, supercapacitors have been widely adopted in different fields due to their high power density and long service life. However, with the rapid development of intelligent electronic products, there is an urgent need to construct multifunctional supercapacitors to broaden the range of applications. Integration of electrochromism into supercapacitors is one of the innovative approaches to achieve device multifunctionality. An electrochromic supercapacitor can change color reversibly in response to different applied voltages. The instant capacity of the devices can be simply recognized by naked eyes. Implementation of electrochromism to supercapacitors can also prevent device overcharging, leading to a longer device lifetime. Nevertheless, the performance of previously reported electrochromic supercapacitor devices suffers from a slow switch mechanism as well as a low power density, which highlights the need for the electrode structures optimization.

In this report,an electrochromic asymmetrical supercapacitor device (EASD) is developed, which successfully achieves the multifunctional combination of electrochromism and energy storage by adopting polyaniline and tungsten trioxide as the positive and negative electrodes, respectively. In order to improve the device performance, a facile electrochemical activation process is applied to the electrode. The optimized EASD shows a high volumetric energy density of 35.3 mWh/cm3 at a high power density of 1.02 W/cm3 with excellent cycling stability. More importantly, it exhibits a high coloration efficiency of 123.4 cm2/C with an ultra-fast switching speed of 1.4 s / 0.4 s for colored / bleached states, which is one of the fastest switching devices reported so far. Such an EASD shows great potential in the applications of smart windows, smart electronics, and intelligent energy storage.

TF-ThP-27 Growth and Structure of Cr-doped ZnO Thin Films
Gabrielle Pasternak (Washington and Jefferson College); Aedan Gardill (Lawrence University); Sara Chamberlin (Washington and Jefferson College)

There is a constant search for more efficient materials for use in electronics. Zinc Oxide (ZnO) is a well-known semiconductor used in numerous applications. However, the effects of doping ZnO with chromium (Cr) are less documented. Using spray pyrolysis – a robust and industrially relevant technique – an aqueous solution of Zn and Cr nitrates is sprayed onto a heated substrate to create thin films of polycrystalline (Zn1‑xCrx)O with various Cr concentrations below x = 0.05.

X-ray diffraction (XRD) is used to verify the retention of ZnO’s structure, confirming that Cr substitutes for Zn in the crystal lattice. XRD can also give detailed information about the crystal lattice parameters and crystallite size – both important in understanding the effectiveness of our growth process. Verifying with XRD that we have grown good crystalline material is the first step to increasing the understanding of (Zn1‑xCrx)O. We have also begun to investigate the optical and chemical properties of this material.
TF-ThP-28 Developing an Approach to Improve the Beta-phase in Ferroelectric PVDF-HFP Thin Films
Ashley S. Dale, Aaron Mosey, Joseph Soruco, Ruihua Cheng (Indiana University Purdue University Indianapolis)

Improved fabrication of poly(vinylindene fluoride)-hexafluropropylene (PVDF-HFP) thin films is of particular interest due to the high electric coercivity found in the beta-phase structure of the thin film. For example, ongoing studies of ferroelectric-spin crossover coupling using x-ray spectroscopy imply the ferroelectric-spin coupling would benefit from a better ferroelectric response. Langmuir-Blodgett (LB) deposition and Langmuir-Schaffer (LS) deposition methods create a beta-phase dominant PVDF-HFP thin film when the deposition is followed by annealing. However, applications for PVDF-HFP thin films exist in organic spintronic devices where annealing is prohibited by other materials in the device heterostructure. We show that it is possible to obtain high-quality, beta-phase dominant PVDF-HFP thin films using a modified approach to LB deposition and without the use of annealing or additives. Samples implement a unique step design with a bottom electrode of copper and aluminum and a top electrode of gold or aluminum. This design allows a single thin film sample to be characterized using scanning electron microscopy, atomic force microscopy, X-ray diffraction, and electrical hysteresis measurements.

TF-ThP-29 Ternary Thin Film Alloys for Varistor Application
Ajit Dhamdhere, Somilkumar Rathi, Niloy Mukherjee, Jaeseok Heo, Sang Young Lee, Jerry Mack, Ben Nie (Eugenus, Inc.)

In this work we propose to employ atomic layer deposition (ALD) grown thin films of TixSiyNz as a universal heating electrode for integrated electronic devices. In this work the Ti:Si ratio and film thickness were varied, and corresponding structural and physical analysis was performed using multiple characterization techniques. By varying the Si fraction in the film, wide range of resistivity was achieved. Atomic level control of Ti:Si fraction in the films enabled fine tuning of the morphology from polycrystalline to fully amorphous with optimum resistivity. The TixSiyNz films were grown using Eugenus 300 mm QXP commercial mini-batch ALD reactor. X-Ray diffraction (XRD), high resolution transmission electron microscopy (HRTEM), and selected area electron diffraction (SAED) of these films corroborated transition from nano-crystalline to pure amorphous phase with increase in Si concentration. TixSiyNz films processed in our labs have already exhibited superior diffusion barrier properties and stability of the resistivity of the films. Our recent work on the in-situ high temperature XRD studies of the TixSiyNz films showed superior phase stability of the TixSiyNz films at high temperatures of 800°C with negligible alteration in recrystallization (Figure 1). Nanoindention based hardness studies of these films indicated the change in mechanical properties with varying Si% in the TiN matrix. The sub-nanometer level of surface roughness of these TixSiyNz films as established by Atomic Force Microscopy would also benefit adhesion of our TixSiyNz films with other electronic materials yielding coherent interfaces.

View Supplemental Document (pdf)
TF-ThP-30 Plasma Study and Fretting Corrosion of Zr/ZrN/CNx Multilayers Deposited by HIPIMS on Ti6Al4V
Martín Flores, Luis Martin Flores, Jonatan Perez, Max Fernando Flores, Omar Jimenez (Universidad de Guadalajara, Mexico)
Multilayers of ceramic-metallic hard coatings can improve the the tribocorrosion resistance of biomedical alloys as Ti6Al4V. The coatings deposited by HIPIMS have a more compact growth respect to films deposited by DC magnetron sputtering; this diminish the presence of pinholes and defects that permit to predict a reduction in permeability. The design of the multilayer was made with a top layer of CNx in order to reduce the friction coefficient. In this work we study the fretting corrosion behavior of Ti6Al4V biomedical alloys coated with multilayers of Zr/ZrN/CNx deposited by HIPIMS (High Power Impulse Magnetron Sputtering). The used plasmas was characterized by OES, also the ions and neutral species were studied by means of a mass and energy analyzer. The fretting corrosion tests were made in fetal bovine serum (BFS), the geometry used was a cylinder of coated Ti alloy on a flat CoCrMo sample. The worn surface at wear track was analyzed by SEM and Raman spectroscopy. We report the results of composition of tribolayer and removed material of the wear tracks. The friction coefficient and the fretting damages were reduced by the coating.
TF-ThP-31 Size Dependent Strengthening in High Strength Nanotwinned Al/Ti Multilayers
Yifan Zhang, Sichuang Xue, Qiang Li, Jin Li, Jie Ding, Tongjun Niu, Ruizhe Su, Haiyan Wang, Xinghang Zhang (Purdue University)
Here we report on the study of magnetron-sputtered highly textured Al/Ti multilayers with various layer thicknesses (h = 1 - 90 nm). The hardness of Al/Ti multilayers increases monotonically with decreasing layer thickness without softening and exceeds 7 GPa, making it one of the strongest light-weight multilayer systems reported to date. High resolution transmission electron microscopy (TEM) and X-ray diffraction pole figure analyses confirm the formation of high-density nanotwins and 9R phase in Al layers. The density of nanotwins and stacking faults scales inversely with individual layer thickness. In addition, there is an HCP-to-FCC phase transformation of Ti when h ≤ 4.5 nm. And the post-indentation TEM analysis reveals deformation induced phase transformation in Ti layer. The high strength of Al/Ti multilayers primarily originates from incoherent interface, high-density twin boundaries, as well as stacking faults. Our findings have general implication for the design of high-strength and light-weight heterogeneous nanocomposite materials. View Supplemental Document (pdf)
Session Abstract Book
(391KB, Apr 26, 2020)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS 66 Schedule