AVS2018 Session PS-TuP: Plasma Science and Technology Division Poster Session

Tuesday, October 23, 2018 6:30 PM in Room Hall B

Tuesday Evening

Session Abstract Book
(436KB, May 6, 2020)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule

PS-TuP-1 Surface Modification for the Enhancement of the Patterning Margin by Using Plasma Treatment
Wanjae Park, Lior Huli, SooDoo Chae, Akiteru Ko, Peter Biolsi (TEL Technology Center, America, LLC)

Extreme ultraviolet (EUV) lithography has been investigated to extend lithographic technology beyond its optical limits and replace current photolithography methods to pattern tiny critical dimension (CD) features. As the feature size is reduced, the adhesion between photo resist (PR) and its under layer such as silicon containing anti-reflective coating layer (Si-ARC) is getting worse. Recently, one of issuses of EUV process is pattern collapse or flop-over phenomena that may ultimately render the substrate useless. This pattern collapse may be more observed, as the feature size is smaller due to smaller contact surface topographically. In this study, we carried out plasma treatments by using various gases on the under layer of PR which is Si-ARC, before PR coating. The CH4 plasma treatment remarkably improves the pattern collapse phenomena. This surface modification effect as following as plasma chemistries was characterized by Fourier Transform Infrared Spectroscopy (FTIR), X-ray Photoelectron Spectroscopy (XPS) and Contact Angle measurement. From the FTIR analysis, we can detect additional Si-CH3 peak (around 1261cm-1) after CH4 plasma treatment on Si-ARC. The chemically bond Si-(CH3) groups might be thought as forming a hydrophobic surface while the surface oxidation was suppressed, which was proved by XPS results and Contact Angle results. Finally, the CH4 plasma modifies hydrophilic property of the under layer of PR to hydrophobic surface thus enhancing the adhesion to PR. The benefit of increased adhesion results substantial improvements on production yield and enlarges the margin of Litho process window. This study will be helpful in enhancing the margin of EUV lithography process window and improvement on production yield for the manufacturing of Nano metric devices.

Keywords: Line Pattern Collapse, Surface Modification, Plasma Treatment, CH4 Plasma, Contact Angle

PS-TuP-2 N2/H2, O2 and NF3 Dissociation Percentages in a Remote, Low Frequency, High Density Plasma Source
Yingliang Zhou, Hanyang Li, Vincent M. Donnelly (University of Houston); Johannes Chiu, Xing Chen (MKS Instruments, Inc., Pressure and Vacuum Measurement Group)

Remote plasmas are drawing increasing attention for applications including chamber cleaning, chemical vapor deposition (CVD), surface modification and isotropic etching. The process is purely chemical in nature, with no surface damage from ion bombardment. The dissociation and recombination rates in the plasma source determine the reactive species fluxes delivered to the downstream chamber. The presentation will focus on measurements of percent dissociation of source gases commonly used in chamber cleaning and flowable CVD processes. Mixtures of N2/H2, O2, and NF3 feed gases with Ar were delivered to the plasma at 400 sccm total flow rate and pressures of 0.4-4.0 Torr. The purely inductive, low frequency (400 kHz), toroidal plasma source (MKS Instruments) operates at a power density of 5 – 50 W/cm3. Radical densities and feed gas dissociation percentages in the plasma were measured by UV-visible optical emission spectroscopy (OES), combined with Ar actinometry. Effluents from the plasma source flowed into a downstream chamber that was equipped with a Deuterium lamp and a VUV spectrometer, for absorption spectroscopy measurements. The dissociation of O2, NF3 and N2/H2 gases in the plasma source will be compared to those measured downstream as a function of added Ar, total flow rate, discharge current, relative electron density and other plasma parameters.

PS-TuP-3 Thermal Atomic Layer Etching of Silicon and Silicon Nitride Using an Oxidation and “Conversion-Etch” Mechanism
Aziz Abdulagatov, Steven George (University of Colorado at Boulder)

The thermal atomic layer etching (ALE) of silicon (Si) and silicon nitride (SiN) was performed using an oxidation and “conversion-etch” mechanism. In this process, the Si or SiN surface is oxidized to a silicon oxide layer using O2 or ozone. The silicon oxide layer is converted to an Al2O3 layer using trimethylaluminum (TMA). The Al2O3 layer is fluorinated by HF to an AlF3 layer prior to the removal of the AlF3 layer by ligand-exchange using TMA. Si ALE was studied using silicon-on-insulator (SOI) wafers and SiN was examined using LPCVD SiN films. These investigations were performed in a warm wall reactor with a hot sample stage. In situ spectroscopic ellipsometry was employed to monitor the thickness of both the Si or SiN film and the silicon oxide layer during ALE.

These studies observed that the Si and SiN film thickness decreased linearly with number of reaction cycles while the silicon oxide thickness remained constant. Using an O2-HF-TMA reaction sequence, the Si ALE etch rate was 0.4 Å/cycle respectively at 290°C. This etch rate was obtained using static reactant pressures of 250, 1.0 and 1.0 Torr, and exposure times of 10, 5 and 5 s, for O2, HF and TMA, respectively. The order of the reactant sequence affected the Si etch rate. Changing the reactant sequence from O2-HF-TMA to O2-TMA-HF reduced the etch rate from 0.4 to 0.2 Å/cycle at 290°C. Comparable etching rates were observed using ozone instead of O2 as the oxidant. Comparable etching rates were observed for SiN ALE under similar reaction conditions. The Si and SiN ALE etch rates decreased with process temperature. An oxide thickness of ∼10 Å remained after ALE at 290°C. However, this oxide thickness could be removed by sequential TMA and HF exposures without influencing the underlying silicon film.

These new thermal Si and SiN ALE processes are expected to yield isotropic etching. Thermal Si and SiN ALE should be useful in advanced semiconductor fabrication. Thermal Si ALE could also be utilized for atomic-scale polishing and cleaning of silicon surfaces. In addition, there may be applications in other areas such as silicon-based optoelectronics, photonics and MEMS fabrication. Thermal SiN ALE could be utilized in a broad spectrum of IC applications where SiN commonly used as an etch stop and diffusion barrier.

PS-TuP-4 Annihilation Kinetics of Plasma-induced Electronic Defects in Semiconductor Materials
Shota Nunomura, Isao Sakata, Koji Matsubara (National Institute of Advanced Industrial Science and Technology (AIST), Japan)

In semiconductor devices such as transistors, memory, solar cells, and light emitting devices, the electronic defects strongly impact on the device performance and reliability. These defects are often generated during the device fabrication, in which plasma processing technology is widely used for deposition, etching and implantation. To remove the defects in the devices, a annealing treatment is usually performed. However, some defects remain in the devices, and they deteriorate the device performance. The reduction of these residual defects is required, and thus it is important to understand the annihilation kinetics during the annealing period.

We studied the annihilation kinetics of electronic defects in hydrogenated amorphous silicon (a-Si:H). The electronic defects were generated by photon irradiation and plasma treatment. The annihilation of defects during the annealing is observed by in-situ photocurrent measurement [1-2]. An increase in the photocurrent reflects the annihilation of the defects. From the time evolution of the increasing photocurrent, we obtained the characteristic time, τ, and an Arrhenius plot is prepared to determine the activation energy.

From the experiments, we find the following [3]. (i) The time evolution of the photocurrent exhibits the stretched exponential behavior, indicating the dispersive nature of a-Si:H. (ii) An Arrhenius plot shows an exponential decay of 1/τ vs 1/T, verifying defect annihilation due to the thermal activation. Here, T is the annealing temperature. (iii) The activation energy is different, depending on the origin of defect generation. It is smaller for the defects generated by plasma treatments, compared with that of the defects induced by the photon irradiation. (iv) The exponential prefactor is different between the UV and VUV photon-induced defects. The details of the experimental setup, results and discussion will be given in the presentation.

This work was supported by JSPS KAKENHI (Grant Number 18K03603 and 15K04717) and NEDO.

[1] S. Nunomura, I. Sakata, and M. Kondo, Appl. Phys. Express 6, 126201 (2013). [2] S. Nunomura and I. Sakata, AIP Advances 4, 097110 (2014). [3] S. Nunomura et al., submitted.

PS-TuP-5 High efficiency Magnetic Induction Plasma Source for Remote Plasma Removal Process
TaeSeung Cho, Soonam Park, Dima Lubomirsky (Applied Materials)

Selective material removal by using remote plasma becomes an indispensable process for 3D structures of semiconductor. In selective material removal process by remote plasma, the wafer process regime is completely isolated from the plasma source by perforated metal plates such as showhead. The radicals generated by electrical discharge pass through the perforated metal plate, while the charged particles cannot pass through. Thus, in the wafer process regime, the specific radicals from the remote plasma react with the target material to be removed from the wafer. Since the charged particles are screened by the plate, the damages by energetic charged particles can be drastically reduced. Therefore, the plasma source for remote plasma removal process should have features of (1) efficient radical generation with higher dissociation rate and (2) less charged particle leakage to wafer process regime.

Magnetic induction plasma concept is being used for lighting bulbs as well as Tokamak fusion reactor for several decades. Especially, the magnetic induction lighting and its driving electronics is being optimized for many years. Since the magnetic induction lighting doesn’t have any electrode inside the bulb there’s no particles from the electrode sputtered by energetic ions. In addition, magnetic induction plasma source as an inductively coupled plasma has higher dissociation rate compared to typical capacitively coupled plasma. Thus, introducing the magnetic induction lighting and its driving technologies to remote plasma removal process would make removal process more efficient and reliable with reduced cost of ownership.

Prototype chamber for magnetic induction plasma source for remote plasma removal process was assembled with standard KF flanges that could be brought off the shelf. To generate stable plasma, we modified the commercial electronic ballast. We introduced initial plasma generation concept to avoid the ignition failure which was one of the most common issue of magnetic induction plasma source. For preliminary study with the electrical and optical diagnostics, Ar+N2 plasma was successfully generated/modulated in the chamber by using the ballast with wide operating pressures from 50mTorr to 200Torr.

PS-TuP-6 Aspect-ratio and Line-edge Fluctuation Controlled Nanolithography using Poly(styrene-b-Dimethylsiloxane) and Amorphous Carbon Layer
JiSoo Oh, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)

Of the various alternative lithography technologies, direct self-assembly (DSA) patterning technology using block copolymer (BCP) has received great attention due to excellent pattern resolution, process simplicity, low cost, and long-range ordering (good scalability).

Polystyrene-block-polydimethylsiloxane (PS-b-PDMS) with high Flory-Huggins interaction parameter (χ) have been extensively studied because they provide ultra-fine patterning and improved pattern quality. However, due to the preferential segregating property of PDMS in air and PS interface, it is disadvantageous to vertical orientation and it is difficult to fabricate BCP patterns with high aspect ratio (HAR)

Here, we will introduce the process of effectively pattern transfer by inserting an amorphous carbon layer (ACL) between the PS-b-PDMS BCP patterns and the underlying silicon substrate. In this study, we have overcome limitations of PS-b-PDMS BCP patterns with low aspect ratios by developing an etch selectivity close to infinity using plasma etch process. The PDMS patterns of various shapes could be fabricated into lamellar, rod, hole pattern with HAR by pattern transfer to ACL due to high etch selectivity plasma process. Also, line edge roughness (LER) and line width roughness (LWR) was improved due to the plasma trimming effect.
PS-TuP-7 Development of A Low-Cost ZnO Nanorods-Based Gas Sensor with an Integerated Microplasma Generation Unit for Ethanol Sensing
Sz-Yun Lin, Fei-Hung Huang, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)

ZnO-based materials have been widely used as the gas sensing elements. The major limitations for this type of materials are the need to operate in high temperature or the requirement of the annealing step for fabrication or re-condition.

In this work, we developed a ZnO nanorods–based gas sensor integrated with a microplasma generation unit (MGU) that allows for detection of ethanol vapors at room temperatire. This device consists of a specially-designed electrode set was fabricated using toner transfer method. This allows for the operation of microplasma generation mode(MGM) and gas sensing mode(GSM). After the fabrication of the 3-electrode set, ZnO nanorods was grown by hydrothermal method for 24 hours between two electrodes. ZnO-nanorods was first treated using the plasma for 10 minutes by sensing test. By proper connection of the electrodes, the device can be operated in GSM, which allows for ethanol vapor sensing test by measuring the resistance across the ZnO nanorods. The sensor is capable to detect a wide range of ethanol vapor, from 25 to 20000 ppm. In addition, the sensor shows excellent recyclibility after repetitatively testing for over 30 cycles. We will also show that the plasma treatment of the ZnO nanorods serves as the regeneration of the sensing materials after the nanorods expose to humid air and loses their functionality for ethanol sensing.

This newly-developed integrated device offers a novel route for the dvelopment of sensing devices that allows for plasma treatment of sensing materials in-situ and on-site.

PS-TuP-8 Development of a Plasma Generation Device Integrated with a Piezoelectric Spray to Detect Metal Ions in Solution
Ting-Ting Pan, Sz-Yun Lin, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)

In this work, we develop a system that contains a plasma generation device, a piezoelectric spray, and a spectrometer to detect metallic ions in solution. The plasma consists of a needle and a copper sheet as the anode and the cathode respectively. This plasma is driven by a homemade high voltage module that delivers 3 kV DC and is powered by a 5 V commercial portable power bank. The plasma is ignited in ambient air without the need of any purging gases. The mist of metal-ion-containing solution is sprayed to the plasma. The optical emission of the plasma is analyzed using a spectrometer for metallic element analysis. Such an arrangement allows for analysis of solutions with a wide range of electrical conductivities.

It is shown that the addition of a ballast resistor in series of the high voltage module effectively limits the current and plays an important role for metal detection. Without the ballast resistor, no metallic emission line is observed, despite of the fact that the plasma shows very bright emission. With the use of a ballast resistor of proper resistance, plasma appears to be more stable and clear Na and Pb emission lines are observed when a solution containing 1000 and 10000 ppm of Na and Pb, respectively, is spray to the plasma. We also observe that the gap between the electrodes is very critical for plasma characteristics. With a gap smaller than 0.5 mm, the plasma exhibits stable (DC) IV waveforms, while it shows self-pulsing characteristics with a gap greater than 0.5 mm.

We will show the progress toward the ultimate goal of this work: development of a system to detect metal ions in solution by integrating the plasma and spray devices with a home-made low cost spectrometer, and control the system using a Raspberry pi, a portable computer. Such an integrated system is fully functionalized and standalone and allows for simultaneous detection of multiple metal ions using plasma spectroscopy.

PS-TuP-9 Development of a Light-weight System for Detection of Metal Ions in Solutions Using Plasma Spectroscopy
Ching-Yu Su, Sz-Yun Lin, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)

This work presents the development of a light-weight system that allows for simultaneous detection of multiple metallic elements in solution using plasma spectroscopy. This system consists of a pin-to-surface-type plasma, driven by a home-made high voltage module, and an atomizer to spray test solution into plasma. The optical emission of the plasma is analyzed using a spectrometer. Such an arrangement allows for detection metallic elements in solution with wide range of electrical conductivity of the solution by analyzing the optical emission of the plasma. A stainless steel pin and a copper sheet serve as the anode and the cathode, respectively, of the plasma. This plasma operates under atmospheric pressure in ambient air. The high voltage module delivers 3 kV DC to ignite the plasma and is powered by a 5-V commercial portable power bank. The atomizer is a piezoelectric spray. The power source of this spray is connected in series with a bipolar junction transistor (BJT), which is driven by a function generator to modulate the on and off of the spray. When the metallic element-containing solution is sprayed to the plasma, metallic emission can therefore be acquired.

We have observed that the spray frequency and duty greatly influence the plasma behavior and therefore its optical emission. Proper modulation of the spray is the key to generate stable plasmas with clear metallic emission. When the spray is set at 1 Hz with 50% duty using solution with 1000 and 10000 ppm of Na and Pb, respectively, clear metallic Na and Pb emissions are observed. We will further analyze the temporal-resolved optical emission to better understand the interaction between the mist and the plasma.

Finally, we will also demonstrate the use of a Raspberry Pi, a low-cost and credit-card-sized computer, to synchronize the spray and the plasma, and its integration with a homemade low cost spectrometer to develop a standalone and fully-functional device for detection of metallic elements in solution.

PS-TuP-10 Inductively Coupled Plasma Reactive Ion Etching of Nanometer-scale Patterned Copper Thin Films using Alcohol-based Gases
Jinsu Ryu, Euntaek Lim, Dongwha Park, CheeWon Chung (INHA University, Republic of Korea)

Copper has been known as the next-generation interconnect materials in the metallization layer beyond the ultra large scale integration. Recently, the conventional aluminum interconnect materials needs to be replaced by copper which has many advantages compared to aluminum: high conductivity, less susceptible to electromigration, and lack of hillocks formations.

Copper thin films could not be patterned by the previous patterning techniques of photoresist masking and plasma etching that had been used with great success with aluminum. The inability to plasma etch the copper films called for the development on new etching technique. At last, it lead to a unique patterning process referred to as an additive patterning, also known as a 'Damascene' or 'dual-Damascene' process by analogy to a traditional technique of metal inlaying. However, as the critical device dimensions keep shrinking, the thickness of copper interconnect also should be decreased. This shrinkage in the copper thickness cause several issues in the copper patterning, which contain the increase in the resistivity of copper interconnect due to the increase in the resistivity of barrier layer and the change in grain size. There were many etching studies on the copper thin films using halogen-containing gases (Cl2, HBr), hydrogen, and some organic materials, and all of their results were not satisfactory to apply to the copper patterning.

In this study, we will introduce an etching process of copper thin films using high density plasma etching in alcohol-based gases. The etch characteristics such as etch rate and etch profile will be presented as a function of gas concentration. Then the systematic parameter variation will be performed to improve the etch profiles. Finally, the etch mechanism will be investigated using X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDS). In addition, the plasmas properties will be analyzed using optical emission spectroscopy (OES) and Langmuir probe.

Acknowledgements This research was supported by Basic Science Research Program through the National Research Foundation of Korea(NRF) funded by the Ministry of Education (NRF-2017R1D1A1B03033143).

PS-TuP-11 Etch Characteristics of Nanometer-scale Patterned Cu Thin Film Using Pulse- modulated RF Source Plasma
Euntaek Lim, Jinsu Ryu, CheeWon Chung (INHA University, Republic of Korea)

The critical dimensions of the semiconductor devices have been shrunk for better performance and functionality. As the minimum feature length keeps decreasing, the aluminum metal electrodes and wiring can not be used anymore and the need to use copper wiring instead of aluminum is increasing. Copper has very low resistance and high electromigration resistance, so the copper thin films is known as an excellent interconnect material compared to aluminum although the copper is more expensive than aluminum. In order to apply copper films into the interconnect, the pattering and etching process of copper films should be developed. Up to date, the studies on etch characteristics of copper thin films were performed using Cl2, HBr, and H2 gases but the satisfactory results were not obtained.

In this study, the pulse-modulated RF plasma etching of copper thin films has been introduced to achieve good etch results such as proper etch rate and good etch profile compared to those by the conventional continuous wave (CW) plasma etching which can produce low etch selectivity, etch residues, and poor etch profiles. This modulated plasma can provide the specific plasma conditions modified by special matching system that can change on-off duty ratio of 13.56 MHz RF power and frequency on the specific duty ratio. Currently, no good etch gases have been known to etch copper thin films. In this research, etching characteristics of copper thin film masked with nanometer-scale patterns was investigated in carboxylic acid using pulse-modulated inductively coupled plasma reactive ion etching (ICP RIE). The effects of on-off duty ratio and frequency of pulsed plasma on the etch characteristics of copper were examined.

Acknowldgments This research was supported by the MOTIE(Ministry of Trade, Industry & Energy (10080450) and KSRC(Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device.

PS-TuP-12 Etch Characteristics of Magnetic Tunneling Junction Materials by Using Noble Gas and Hydrogen
SooGang Kim, Kyung Chae Yang, YeJi Shin, Dain Sung, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)

As next generation non-volatile memory device, spin transfer torque magnetic random access memory (STT-MRAM) is one of the prospective memory devices. But anisotropic etching of magnetic tunneling junction material (MTJ) is vey difficult especially in nano-scale. Ar ion beam etch (IBE) not only has low etch selectivity but also induces sidewall re-deposition. Even though tilted ion beam can remove deposited materials at sidewall, a shadow effect restricts the effective removal of deposited materials in nano-scale pitch size. Some chemical reactive ion etching (RIE) can improve problems of Ar IBE, but they show other problem such as low selectivity, corrosion and chemical damage to magnetic materials.

In this study, MTJ materials were etched by using H2, Ne, Ar and Xe inductively coupled plasma (ICP) and observed their etch characteristics. The nano-scale patterned MTJ material sample which is composed of CoPt(10nm), MgO(1nm), CoFeB(10nm) with W hardmask was used for comparing etch profiles with re-deposition. The results show that H2 and Ne etch showed better etch profile and higher etch selectivity of MTJ materials over W than those with Ar. With Xe, etch selectivity was lower than the other gas, even though Xe showed an anistropic profile. Also using the vibrating sample magnetrometer (VSM), we compared saturated magnetic moments (Ms) to identify magnetic degradation. The patterned sample etched with Ne and Ar showed similar Ms, which means no significant magnetic degradation when using Ne.

PS-TuP-13 Particle Temperature Histories in a Tubular Low Temperature Plasma Reactor: Relevance to the Synthesis of Amorphous Metal Alloys
Necip Berker Uner, Elijah Thimsen (Washington University in St. Louis)

The nonequilibrium environment of low temperature plasma (LTP) allows it to incorporate a significant amount of specific free energy to materials with which it is in contact. It has been shown recently that LTP is capable of synthesizing materials that are far away from equilibrium, as in the case of hyperdoped silicon nanocrystals [1]. Furthermore, LTP can also process pre-synthesized materials in such a way that the material is pushed far away from equilibrium, as demonstrated with in-flight size focusing of polydisperse aerosols [2]. However, examples on the transformation of materials with equilibrium atomic structure to materials with non-equilibrium structure are scarce. In this work, we propose that the distinct nanoparticle (NP) temperature histories in tubular LTP reactors can be utilized to transform crystalline metals into amorphous metals. Spatial characterization of an Ar plasma in a capacitively coupled tubular reactor revealed the existence of a zone with sharply elevated ion density and gas temperature in the vicinity of the powered electrode. Theory suggests that such an intense zone would heat NPs to temperatures above 1000 K, and rapid cooling would follow as NPs leave the zone. In the characterized reactor, an aerosol processing scenario was simulated, where pre-synthesized crystalline NPs were sent into the LTP. Copper-zirconium (CuZr), which is a well-established glass former and is of interest for low temperature electro-catalysis applications, was taken to be the particle material. Calculations showed that the temperature history of a NP is strictly dependent on diameter, and on the intensity of the zone. CuZr melted in the intense zone, and subsequent cooling of the melt in the low intensity plasma downstream lead to quenching rates on the order of 105 K/s, all while particles maintaining a unipolar negative charge. Quenching rates of this magnitude are known to be sufficient to arrest an amorphous atomic structure [3].

[1] Zhou Shu et al., “Boron‐ and Phosphorus‐Hyperdoped Silicon Nanocrystals,” Part. Part. Syst. Charact., vol. 32, no. 2, pp. 213–221, Aug. 2014.

[2] N. B. Uner and E. Thimsen, “In-Flight Size Focusing of Aerosols by a Low Temperature Plasma,” J. Phys. Chem. C, vol. 121, no. 23, pp. 12936–12944, Jun. 2017.

[3] F. Gillessen and D. M. Herlach, “Crystal nucleation and glass-forming ability of Cu-Zr in a containerless state,” Mater. Sci. Eng., vol. 97, pp. 147–151, Jan. 1988.

PS-TuP-14 Building Tailored Chemistry Sets for Plasma Modelling using a Statistical Approach Embedded in an Online Engine
Sebastian Mohr, Gwyn Evans, Anna Dzarasova (Quantemol Ltd., UK); Mala Virdee (University College London, UK)

The Quantemol Plasma Chemistry Generator has been developed within the PowerBase project to explore the vast number of potential chemical species and reactions present in a variety of plasma systems. Advances in computational and experimental plasma science as well as the increasing demand for precise and complex etching/depostion patterns have resulted in the use of increasingly complex plasmas for both research and industrial applications. Critical to the understanding and development of such methods in the semiconductor industry, is the identification of important chemical species and reactions present in the plasma, often a resource and time intensive endeavour. The Plasma Chemistry Generator tool suggests chemical species, important reactions and cross-section data for a given mixture of feed gases (e.g SF6/C4F8), helping researchers to curate self-consistent sets of chemical reactions that are specific to the system of interest. Firstly, constituent plasma species are obtained from the Quantemol Database of Plasma Chemistries (QDB) [1] based on the chemical composition of the feed gases. Potential reactants and products for a hypothetical reaction are combined iteratively from these species, obeying mass and charge conservation rules, to form sets of allowed chemical reactions. Classification of these reactions into different process types, using models of reactions already available in QDB, facilitates the selection of important reactions based upon process parameters, such as the pressure of the plasma or the input power required for the users' application. In the final step, rate coefficients and/or cross sections are obtained from QDB where available. In case of unknown coefficients/cross sections, a suggestion by analogy to similar reactions will be made. By significantly reducing the time necessary to assemble a chemistry set, the Quantemol Plasma Chemistry Generator provides quick fundamental insights into plasma chemistries, accelerating the development and optimisation of new plasma systems and their applications. Examples of generated chemistry sets will be presented.

Funded by the PowerBase project under grant agreement No 662133 from the Electronic Component Systems for European Leadership Joint Undertaking

1] J. Tennyson et al, QDB: a new database of plasma chemistries and reactions, Plasma Sources Sci. Technol. 26 (2017) 055014

PS-TuP-15 Easy Synthesis of Hybrid Laterally or Vertically Patterned Hydrophobic/Hydrophilic Surfaces using a Dielectric Barrier Discharge
Annaëlle Demaude (Université Libre de Bruxelles, Belgique); Michael Gordon (University of California at Santa Barbara); Francois Reniers (Université Libre de Bruxelles, Belgium)

The quest for obtaining smart materials with combined surface properties is driven by their many potential applications. Whereas the surface science community can now easily synthesize (super)hydrophilic or (super)hydrophobic surfaces, there is nowadays a specific interest for having stable surfaces where some spots are hydrophobic and some are hydrophilic, leading for instance to controlled chemistry at the hydrophilic part, leaving the hydrophobic part unchanged (this is particularly useful for biomedical applications where controlled adsorption of biological molecules can be requested)1. Similarly, for antibiofouling applications in marine environment, having layers alternating in depth an hydrophilic/hydrophobic behavior may lead to lower shell adsorption2. This type of multilayers coating can also find applications in the manufacturing of water filtration membranes3.

In this research, we present a simple approach for synthesizing such patterns using a combination of two precursors, namely propargyl methacrylate (precursor for hydrophobicity) and acrylic acid (precursor for hydrophilicity) injected in a dielectric barrier discharge (DBD) operating at atmospheric pressure. A thin PVC mask is used for the surface patterning of the coating. Various amounts of the two precursors are injected in the DBD, which runs with argon as the main operating gas. These two precursors can indeed lead to coatings exhibiting contact angles varying from 140° to 15°4

The samples are characterized by secondary ion mass spectrometry (SIMS), both in static and dynamic mode, X-ray photoelectron spectroscopy and water contact angle. Despite the strong similarities between the two precursors, SIMS unambiguously show alternating in-depth composition of specific fragments. Similarly, water contact angle (with a reduced water drop size) shows that surface patterning is easily obtained by DBD, with contact angles of ~130° in hydrophobic areas and ~25° in hydrophilic areas. An alternate approach, consisting in exposing selected areas of the hydrophobic coating to an oxygen containing plasma, leads to angle of ~130° and ~17°, respectively. Such patterns are stable with time, opening the route for potential applications.

References:

(1) Ueda, E.; Levkin, P. A. Adv. Mater.2013, 25 (9), 1234–1247.

(2) Xu, G.; Liu, P.; Pranantyo, D.; Neoh, K.-G.; Kang, E.-T. ACS Sustain. Chem. Eng.2018.

(3) Kong, Y.; Lin, X.; Wu, Y.; Chen, J.; Xu, J. J. Appl. Polym. Sci.1992, 46 (2), 191–199.

(4) Nisol, B.; Ghesquière, J.; Reniers, F. Plasma Chem. Plasma Process.2016, 36 (5), 1239–1252.

Acknowledgements :

This work is funded by the Walloon region programs “complements FEDER”, Flycoat and Hylife.

PS-TuP-16 Plasma-based Approach to Driving an Amorphous-To-Crystalline Phase Change in MoS2 Grown on Polymers
Scott Walton, David Boris (U.S. Naval Research Laboratory); Alexander Kozen (American Society for Engineering Education); Gary Kushto (U.S. Naval Research Laboratory); Michael Johnson (National Research Council); Rachel Rai (University of Dayton); Nicholas Glavin (Air Force Research Laboratory); Christopher Muratore (University of Dayton)

The ability to grow high-quality, continuous 2D transition metal dichalcogenides (TMDs) on polymer substrates is a prerequisite for commercial flexible devices based on these materials. Molybdenum disulfide (MoS2) is a promising 2D semiconductor due to its relatively high charge mobility and a direct band gap of 1.8 eV coupled with optical transparency and high mechanical flexibility. Recently, magnetron sputtering from pure TMD targets, such as MoS2 and WS2, was used for growth of amorphous precursor films at room temperature on polydimethylsiloxane substrates. Ex situ laser annealing after film growth was then used to drive an amorphous-to-crystalline phase change. While successful, the phase change was limited to the area defined by the beam diameter. Rapid, large scale, in situ methods would be an attractive alternative to meet the demands for commercial scale manufacturing.

In this work, we discuss the development of a plasma-based approach to driving the crystallization of few-layer, amorphous MoS2 on polymers. The amorphous MoS2 was deposited, via magnetron sputtering of MoS2 targets, on polydimethyl siloxane (PDMS) substrates. The films were then exposed to electron beam generated plasmas produced in pure and dilute argon backgrounds to drive crystallization. The use of electron beam generated plasmas are attractive since they are both scalable to large areas and deliver a large ion fluence with kinetic energies as low as a few eV. The ion energies can be raised using DC or RF biasing, allowing the system to be tuned to deliver the energy required to drive the phase transition but limit etching and damage to monolayer films. The treated films are characterized using Raman, XPS, and Kelvin probes and those results will be discussed in terms of operating conditions such as treatment times, operating gas mixture, and ion energy. This work was partially supported by the Naval Research Laboratory base program.

PS-TuP-17 Atmospheric Plasma Deposition of Vanadium Oxide Thin Coatings on Cold and Heated Substrates
Antoine Remy (Université Libre de Bruxelles, Belgium); Michael Gordon (University of California at Santa Barbara); Francois Reniers (Université Libre de Bruxelles, Belgium)

Atmospheric plasma deposition of vanadium oxide thin coatings on cold and heated substrates

A. Remy1, M.J. Gordon2, F. Reniers1

1. Université libre de Bruxelles, analytical and interfacial chemistry, Brussels, Belgium

2. University of California Santa Barbara, Department of Chemical Engineering

Vanadium oxides present interesting applications in thermochromic devices, electronic components, optoelectronics sensors, battery electrodes and catalysis. They can be synthesized by chemical vapor deposition (CVD) [1], or by magnetron sputtering [2]. In this research, we report, to the best of our knowledge, the first synthesis of vanadium oxide with a reactive atmospheric dielectric barrier discharge. This approach allows the direct synthesis of oxide layers on a wide variety of substrates, starting from an organometallic precursor in the vapor phase. Vanadium(V) oxytriisopropoxide vapours were injected in a DBD operating with argon as the main plasma gas. Variable quantities of the precursor and of oxygen (from 50 mL/min to 100 mL/min), operating as secondary reactive gas, were introduced in the discharge, and the plasma power was varied from 40 W to 60 W.

The coatings were deposited at room temperature, or, thanks to a new home made internal heating device, at higher substrate temperatures (ranging from 373 K to 573 K). Some coatings were post-annealed in air at 573 K.

The samples were characterized by X-ray diffraction, X-ray photoelectron spectroscopy and Infrared Spectrometry, in the IRRAS mode, and the electrical characteristics of the plasmas were studied by a high voltage probe. It is shown that the plasma power decreases with the introduction of oxygen, but remains virtually unchanged when the precursor is injected. Although, according to XPS, a significant amount of carbon still remains embedded in the final coating in the normal conditions of operation, typical IR bands for V2O5 at 1020 cm-1 and 850 cm-1 were observed for samples prepared with 50 mL/min of oxygen flow and at 300°C of sample temperature. This is confirmed by the oxidation state of vanadium (V5+), as observed by the XPS peak at 517.2 eV. The oxidation state seems to change with the conditions of the synthesis, starting from +5 for the original precursor, going down to +4, and then reaching +5 again for V2O5.

References

[1]

S. Wanga, K.A. Owusu, L. Mai, Applied Energy 211 , p. 200–217, 2018.

[2]

M. S.B. de Castro, C.L. Ferreira, R.R. de Avillez, Infrared Physics & Technology 60, pp. 103-107, 2013.

Acknowledgements : this work is supported by the Belgium EOS Nitroplasm project, and by the Walloon region projects Cleanair and Amorpho.

PS-TuP-18 The Increased Efficiency Of The Amorphous/Silicon Heterojunction Solar Cells With Silicon Micro-Channels In Back Side Substrate
Hugo Alvarez, Gabriel Bertão, Audrey Roberto Silva, Frederico Ciodin, José Alexandre Diniz (University of Campinas, Brazil)

In this work, silicon based heterojunction (SHJ) solar cells were fabricated without a intrinsic layer using a 200 nm a-Si:H p+ layer deposited by Electron Cyclotron Resonance-Chemical Vapor Deposition (ECR-CVD) system on to p+-c-Si substrate. The electrical parameters of the obtained solar cells, such as efficiency, are related to the effects of: i) radio-frequency (RF) chuck power, used during the deposition of amorphous silicon (a-Si:H) Electron Cyclotron ECR-CVD, in the incorporation of H into the a-Si:H films for different RF powers; ii) Silicon micro-channels, which were fabricated in the back-side substrate with the solar cells.

The films were deposited using a ECR power of 500W, pressure of 4mTorr, substrate temperature of 20 °C, gas flows of SiH4 and Ar, 200 and 20 sccm and 20 minutes and RF power of 1, 3 and 5W. To create the p+ layer, the samples were boron implanted and annealed in a RTA process. Back and front aluminum contacts of 500 nm were deposited by sputtering and a thin layer of silicon oxide for passivation and an antireflective coating of silicon nitrite was deposited in the ECR for PV Cells efficiency measurements. The back-side contacts were corroded in circular dots (200 µm of diameter) and used as mask to define the silicon micro-channels using ICP (Inductively Coupled Plasma) plasma etching based on SF6/Ar gas mixture. This SHJ solar cells were fabricated and the current density versus voltage curves in illuminated (AM 1.5) condition were measured. Before the microchannel etching, all solar cells, presented lowest efficiencies of about 0.001%. After the formation of the Micro-Channels (depths of about 7.5 µm and 8.2µm, for 10 and 40 minutes, respectively) using ICP plasma etching increased these values at least one order of magnitude. The maximum of 0.4% of efficiency was obtained for the SHJ cell, which was fabricated with the a-Si:H film of 3W RF power and with micro-channel in back-side, using 10 minutes of ICP etching. In the future, we intend to fabricate a microfluidic system to introduce the fluid into the Micro-Channels to cool and to increase the efficiency values of solar cells.

PS-TuP-19 Effect of RF Plasma on H Radical Generation on DCMS Produced a-Si:H
Jan Uhlig, Eitan Barlaz, David Ruzic (University of Illinois at Urbana-Champaign)

We report on the correlation between hydrogen radical concentrations and the densities of amorphous silicon produced by DCMS in Ar. Previously, the addition of molecular hydrogen during growth at pressures sufficient to produce viable inclusion rates frequently led to blister formation and potential delamination in the final film. An alternative approach demonstrated here is to improve the concentration of hydrogen radicals relative to molecular hydrogen th rough the use of a secondary plasma from an RF coil in the deposition chamber. At 300 W RF power and 1 mTorr of Ar, the addition of a fraction of a mTorr of hydrogen gas leads to a 20% reduction on film density. The relationship between hydrogen radical concentration production and secondary plasma power will be characterized by radical probe measurements.

PS-TuP-20 Hardmasks of TiN and Al for Silicon Micro-Channel Definition via ICP Plasma Etching Process
Camila Ruiz (Plasma Nanotechnology Research Center, UNICAMP, Brazil); José Alexandre Diniz, Audrey Rosa (Plasma Nanotechnology Research Center, University of Campinas, Brazil)

TiN and Al films were used as hard mask (HM) materials in Si etching using a high-density inductively coupled plasma (ICP) reactor for silicon micro-channel (SiMC) (with depth > 1 µm) fabrication. The main proposal on this research is define a best hard mask (HM) for silicon micro-channel (SiMC) fabrication using ICP (Inductively Coupled Plasma) etching process. In addition, there are some important properties for hard mask should achieve, such as high mechanical performance and etch resistance to support the high process conditions. The TiN and Al films were deposited on silicon substrate by sputtering. Table 1 presents the obtained samples, with the thickness values and whether the annealing was performed or not.

Table 1. The obtained samples and the Hard Mask (HM) conditions

Samples

HM

Thickness

Annealing

A

TiN

100nm

YES

B

TiN

100nm

NO

C

Al

100nm

YES

D

Al

100nm

NO

E

Al

500nm

YES

F

Al

500nm

NO

Table 2 The used ICP parameters

(fixed conditions: P=30mTorr, 450WICP, 100WRIE)

Process

ICP Parameters

(sccm)

Time

(min)

#1

48SF6+87Ar

10

#2

48SF6+87Ar

20

#3

48SF6+87Ar

30

#4

48SF6+87N2

10

#5

48SF6+87N2

20

#6

48SF6+87N2

30

#7

First sequence:

20seconds, 48SF6+87Ar

Second sequence:

20seconds,48C3F8+87Ar

20

#8

First sequence:

20seconds, 48SF6+87N2

Second sequence:

20seconds,48C3F8+87N2

20

The ICP processes to fabricate the silicon micro-channels (SiMC) and to characterize the mask resistance under the plasma etching were carried out using these fixed parameters as table 2. Two different gas mixtures were used for etching steps without the environment changing: SF6+Ar, and SF6+N2 for 10, 20 and 30 minutes were employed. Two sequences of gas mixtures were used for etching steps in cycles with the gas environment changing: the first cycle was: 20 seconds SF6+Ar, and in the sequence, 20 seconds, with C3F8+Ar; the second cycle was: 20 seconds with SF6+N2, and in the sequence, 20 seconds, with 48sccm of C3F8+N2 for 20 minutes. The steps in cycles with different gas environments were based on Bosch process [1,2]. Usually, the Bosch process is performed using the cycles based on one sequence with SF6/Ar gas mixture, with C4F8/Ar. In this work, we have used C3F8 gas, instead of traditional C4F8. Table 2 shows the conditions of ICP etching processes. The TiN hard masks have presented high resistance to etching process. However, the 100 nm Al films did not present high resistance, because the sputtering mechanism can occur. The 500 nm thick layers (samples E and F, Table 1), have presented the high resistance to etching process.

View Supplemental Document (pdf)
PS-TuP-21 Time- and space-resolved Diagnostics of a Self-Neutralized Ion Beam Extracted from a Pulsed Plasma
Ryan Sawadichai, Ya-Ming Chen (University of Houston); Siyuan Tian (Lam Research Corporation); Vincent M. Donnelly, Paul Ruchhoeft, Demetre Economou (University of Houston)

Ion beams are extensively used in a variety of thin film deposition and etching technologies. To neutralize the space charge of a positive ion beam extracted from a plasma, hot filaments, emitting electrons thermionically, are strategically placed on the downstream side of the extraction grid. Charge neutralization prevents spreading of the ion beam by Coulomb collisions among the ions. This work reports our observation that a self-neutralized ion beam can be obtained when the beam is extracted in the afterglow of a pulsed plasma, in the absence of any hot filaments. Specifically, a nearly monoenergetic ion beam was realized by applying a synchronous DC bias on an electrode in contact with the plasma during a specified time window in the afterglow of a pulsed plasma. Interestingly, the ion beam flux in the pulsed plasma case was much higher than that in a continuous wave plasma, under comparable operating conditions. Time resolved measurements of the ion and electron energy distributions were performed along the beam axis to characterize the spatiotemporal evolution of the beam and arrive at a plausible explanation for self-neutralization. Near the grid, positive ions reach a peak current during the active glow, and again soon after the application of bias in the afterglow, while electron current peaks only at the beginning of the afterglow. At distances greater than 10 cm away from the extraction grid, ions are only detected after the application of bias at a peak current with a delay corresponding to the flight time, while the electron peak did not shift. The time- and spaced-resolved measurements support a mechanism in which electrons from a low-density plasma near the ion extraction grid neutralize the space charge in the transiting beam.

Work supported by the National Science Foundation.

PS-TuP-22 Vacuum-ultraviolet-radiation Damage of Low-k Dielectrics
J. Leon Shohet, Sang-Heum Kim, Ha Nguyen, Panpan Xue, Joshua Blatz, Haoyu Cheng (University of Wisconsin-Madison); Yi-Hung Lin (NSRRC, Taiwan); Jean-Francois de Marneffe, Murad Redzheb, Silvia Armini (IMEC, Belgium); Cheng-chi Chen (NSRRC, Taiwan); Yuting Wu (University of Wisconsin-Madison)

VUV exposure of dielectrics during processing can cause damage and can also be beneficial.. The goal of this work is to optimize the “beneficial” spectrum of photon radiation during plasma processing. To fully separate the effects of charged-particle bombardment a synchrotron can be used to provide a continuous spectrum of radiation over the range that most processing plasmas generate. In this work, four low-k samples were provided by IMEC. Their properties before exposure are as follows:

Precursor

Template

UV cure

k value at 100 kHz

Sample 1

PMO

CTAC (C)

No

2.35

Sample 2

MSQ

BrijL4 (L4)

No

2.36

Sample 3

MSQ

BrijS10 (S10)

No

2.26

Sample 4

MSQ

BrijS10 (S10)

Yes

2.13

To determine the spectral effects of irradiation a five-step procedure was followed. The steps are (1) a rapid photon energy scan to measure the substrate current caused by photoemission as a function of photon energy. (2) Determine which photon energies generate the highest and lowest substrate currents. (3) Irradiate samples separately at the photon energy for the (a) the highest and (b) the lowest substrate current. (4) Measure the substrate current as a function of time for each of the monochromatic irradiations. (5) Following the monochromatic irradiation, a rapid photon energy scan was made again to determine whether changes could be observed in the dielectrics.

For each case, the substrate current begins at a high value and then decays as a function of time until it reaches a steady state. This is typically found after photoemission occurs because the dielectric acquires a net positive charge and thus photoemitted electrons tend to be attracted back to the dielectric. It should be emphasized that the substrate current does not decay to zero but reaches a constant value which is caused by photoinjection of electrons from the silicon substrate.

The damage effects were measured by examining the changes in dielectric constant, dielectric thickness, mechanical properties using nanoindentation, and chemical bond structures using FTIR. It was determined that VUV irradiation with photon energies > 7 eV increased the concentration of silicon dangling bonds in low-k SiCOH. Photons of lower energy were not able to bread the Si-O bonds that have a dissociation energy of 6.3 eV. TDDB degradation and negative mobile-charge generation were observed when the photon energy was greater than 9 eV. The k value increased when the dielectrics were exposed to photon energies > 8 eV. VUV photon irradiation increased the film hardness at photon energies of 10.2 and 11.8 eV. The dielectric constant increased slightly after exposure for all samples.

PS-TuP-23 Porous Alumina as a Vacuum Ultraviolet Transmission Window
Yuting Wu, Haoyu Cheng (University of Wisconsin-Madison); Yi-Hung Lin, Cheng-chi Chen, Hok-Sum Fung (NSRRC, Taiwan); J. Leon Shohet (University of Wisconsin-Madison)

Porous alumina is examined as a coupling window between an electron-cyclotron-resonance plasma used as a vacuum ultraviolet vuv source and a separate processing chamber. The porous alumina sample coupon used in this work has the following properties. The sample is 2 x 2 cm. Its thickness is 38 microns. It is composed of pores that are 20 nm in diameter resulting in a porosity of 50%.

To eliminate the effects of particles, a synchrotron was utilized to obtain the transmission properties as a function of photon energy. The transmission of VUV through porous alumina was measured as a function of wavelength is measured and was found to be nearly 50%. A silicon wafer with a dielectric surface is then placed in the processing chamber and exposed to VUV, both with and without the porous alumina window. A Kelvin probe is used to measure the surface charge induced on the wafer by photoemission in both cases, which will determine whether porous alumina can efficiently couple the VUV irradiation to a sample in a processing plasma.without significant modification to its spectrum and its resulting effects on the material. The advantage of porous alumina over a glass capillary-array window is that the hole diameters are in the nanometer range and this minimizes any particle flux compared with the glass capillary array.

PS-TuP-24 Frequency Response of Microwave Excited Argon Microplasmas using Continuum Simulations
Ayyaswamy Venkattraman, Abhishek Kumar Verma (University of California Merced)

Scientific computing has emerged as an essential tool for the investigation and prediction of microwave sustained microplasmas for applications in metamaterials research. In this work, we intend to perform an in-depth numerical analysis of microwave microplasmas and present a broader scope of physical mechanism characteristics to microplasmas with an emphasis on frequency response of plasma dynamics. We will report two-dimensional fluid simulations of low-temperature microwave excited argon microplasmas in split ring resonators operating at or near atmospheric pressure. An in-house plasma solver is used to simulate these geometries in practical engineering conditions. Some salient features of these parallel simulations include the use of an unstructured mesh with both plasma and dielectric regions solved in a strongly-coupled manner. The simulations also utilized full-momentum equation for all species thereby doing away with possible approximations involved in the drift-diffusion approximation. We also present direct comparisons with experiments and drift-diffusion simulations performed by the Hopwood group in comparable geometries. The two-dimensional simulations will also be compared with previously published results using one-dimensional continuum and kinetic (particle-in-cell with Monte Carlo collision) simulations thereby quantifying the error associated with a one-dimensional approximation. Specifically, the frequency response predicted by one-dimensional simulations point to the existence of several interesting operating regimes depending on the excitation frequency in comparison to the collision frequency and the plasma frequency which will need to be compared with the predictions of the two-dimensional simulations (because of the ability of the plasma to expand). The feasibility of performing realistic two-dimensional/three-dimensional simulations of microwave microplasmas opens several possibilities in terms of optimizing the operating characteristics of these devices in various applications including plasma-based metamaterials.

PS-TuP-25 Development of an In-situ Plasma Enhanced Atomic Layer Etching System for III-group Nitride Device Process
C.P. Lin, Y.H. Lin, C.C. Chen, M.K. Wang (National Applied Research Laboratories, Taiwan, Republic of Korea); C.N. Hsiao (National applied research Laboratories,Taiwan, Republic of Korea); F.Z. Chen (National Applied Research Laboratories, Taiwan, Republic of Korea)
An in-situ plasma enhanced atomic layer etching system has been design and fabricated. NO2, BCl3 and Ar plasma were used as the precursor for AlGaN epitaxy layer at various temperature. The optical detector was used to in-situ monitor the plasma spectrum during the step by step etching process. It is found that the layer by layer etching feature shows the process is a controlled self-limited reaction. In addition, the saturation curve of etching rate and precursor pulsed time has been established. Furthermore, This system could be used for the III-group nitride semiconductor device process.
PS-TuP-26 Advances in the Spectroscopic Characterization of Ceramic Films and Coatings
Fuhe Li, Amir Tavakoli, Joe Brim (Air Liquide Electronics - Balazs NanoAnalysis)

A variety of radio frequency (RF) plasma source atomic optical emission spectroscopy and atomic mass spectrometry have been developed and implemented in our laboratory to characterize various solid ceramic materials, thick coatings and metal-oxide thin films. The techniques that we have developed include but are not limited to glow discharge OES, ICP-OES, laser ablation ICP-MS. Utilizing advanced RF plasma or a high energy laser beam for material sputtering, excitation or ionization, many intrinsic limitations associated with Auger, EDS, GD-MS, RBS, and SIMS techniques such as surface charging are eliminated. The signal intensities produced by these advanced techniques all have a simple and well-defined mathematical (linear) relationship with elemental concentrations in the material. A wide linear dynamic range (over seven orders of magnitude) in these techniques coupled with traceable NIST material standards developed in our laboratory have made accurate surface, interfacial and bulk analyses possible. The advances have also led to a much higher sensitivity in impurity analysis and a much higher accuracy in compositional verification. In addition, deep depth profiling a > 50 µm hard and thick ceramic coating (e.g. Type III anodized coatings) throughout its entire thickness in a real-time fashion can now be accomplished.

PS-TuP-27 Effect of Plasma Configuration on Defect-free Functional Doping on Graphene Surface
Goo-Hwan Jeong, Sung-Il Jo (Kangwon National University, Republic of Korea)

In this presentation, I will present the effect of plasma configuration on defect-free functional doping on graphene surface. The system is a vertical-type direct-current plasma with parallel electrodes. We change the electrode configuration and adjust the plasma input power and treatment time to utilize various ion-bombardment energies and plasma doses. The up-cathode system with a powered upper electrode and ground lower anode is more suitable than the traditional down-cathode system for efficient plasma doping. This configuration yields a low-energy ion process and thus suppresses high-energy ion-induced damages.

The graphene was prepared by mechanical exfoliation and the doping was performed using ammonia gas. The degree of a structural damage on graphene after the doping was mainly evaluated using Raman spectroscopy. Finally, the structural evolution of graphene and the doping components with respect to the plasma conditions are extensively characterized with Raman spectroscopy, atomic force microscopy, and X-ray photoelectron spectroscopy. In addition, we provide the results of in-situ OES analysis during plasma-doping process. The results provide an effective doping condition for doping nanomaterials without plasma-induced damage.
PS-TuP-28 Fluid Model Numerical Simulation Analysis of Microwave Plasma Discharges
Wan-Ting Chiu (National Tsing-Hua University, Taiwan, Taiwan, Republic of China); I-Ning Yeh, Keh-Chyang Leou (National Tsing-Hua University, Taiwan, Republic of China)

Microwave plasma discharges have been widely employed for diamond synthesis. In this work, fluid model numerical simulation analysis, using a commercial available code, COMSOL-Multi-physics, has been conducted for microwave hydrogen plasma discharges operated at 2.45 GHz. The simulation model consists of all basic physical mechanisms, including electromagnetics, plasma discharge, gas flow and heat transfer, along with gas phase and surface reactions of gaseous species and charged particles. For our first study, we investigated a plasma reactor based on the TM02 mode microwave applicator and a quartz dome. The simulation analysis was first employed to fine tune the structure dimension maximize the coupling of the 2.45 GHz microwave to the desired waveguide mode, TM02, while minimizing its coupling to the major competing mode TM01. The simulation analysis with plasma discharge shows that a plasma ball is formed above the substrate stage for certain operating conditions, while a separate plasma discharge appears if operated outside those operating “window”, a common characteristics of microwave plasma discharge operated under microwave cavity resonator mode. Parametric analysis of plasma discharge characteristics for different gas pressure and microwave power have been carried out. Detailed simulation results will be presented.

Acknowledgement :

Work supported by the Ministry of Science and Technology (MOST) of ROC/Taiwan

PS-TuP-29 Evaluation of Simulation Tool for a Plasma Generation based on the Dual Property of Electrons
Shinichiro Kitamoto, Petros Abraha (Meijo University, Japan)

This research presents the development of a simulation tool that characterizes and optimizes the plasma characteristics of a new plasma device based on the dual property of electrons. The plasma device consists of three areas, namely the expansion area, the diffraction area, and the processing area. Successive electrodes generate, expand, and diffract the electrons that dissociate and ionize the nitrogen gas into a plasma. The device is specifically tailored to produce a uniform and large-volume plasma that can harden the surface of large mechanical parts or a large number of mechanical parts. Evaluation of the performance of the plasma device in attaining a uniform and large-volume treated materials requires extensive experimental work, modeling and numerical simulation in addition to plasma diagnostics. In this research, the principle of the plasma generation and the operating conditions of the plasma device are considered in constructing the simulation tool that illustrates the qualitative relations of the plasma parameters against the magnitude and uniformity of the plasma. Numerical simulation of three sequential regions namely particle, wave, and particle regions corresponding to the expansion, diffraction, and processing areas are modeled to give the total framework. The two particle regions, Particle-In-Cell and Monte-Carlo-Collusion methods, are carried out to determine the particle energy and position within the plasma chamber. While in the wave region, the Fresnel theory is used to determine the diffracted electron intensity distribution. In combining the results of the particle and wave regions, the plasma characteristics of the device are holistically determined. Comparison of the results of the simulation and experimental data obtained show good agreement, thus verifying the validity of the simulation tool.

PS-TuP-30 Plasma Nitriding of Highly Polished Metallic Surfaces
Yoshiki Handa, Petros Abraha (Meijo University, Japan)
This research presents an appropriate plasma nitriding method for highly polished precision metallic components that need to maintain the as-finished surface conditions after the plasma treatment. Conventionally, a nitrided layer consists of a hard but brittle nitrogen compound layer and a layer made of diffused interstitial nitrogen atoms. The compound layers, Fe3-2N (gamma-prime) and Fe4N (epsilon) form when the phase field has a solubility range of about 6-8 percent weight nitrogen. A high concentration of the nitrogen atoms on the surface, or concentration gradient, drives the atoms along the grain boundaries of the sample to form the diffusion layer. In this research, the incidence of the electrically charged electrons and ions is controlled to suppress the formation of the gamma-prime and epsilon phase fields and maintain a steady flow of interstitial nitrogen atoms along the subsurface. In this configuration, the sample is set inside a shielding grid that is located in the electron beam excited plasma chamber. The shielding grid is a 40-mesh screen biased negatively, while the sample is biased positively. The negatively biased grid repels the electrons that cause overheating in addition to attracting the ions for possible charge exchange with the meshed wire, thus increasing the atom density. On the other hand, the sample is positively biased to avoid any incoming ions from approaching the sample. In this experiment, the driving parameters of the built-in bias configuration were optimized to guarantee a diffusion-based nitriding that suppresses the formation of the compound layer. A comparison is then made based on measurements of the plasma species that interact with the samples and the characteristics of the treated samples in using both the diffusion-based method, neutral nitriding, and the conventional ion nitriding method. The results show neutral nitriding is a successful nitriding method that can strengthen the surface while keeping the surface free of the compound layer.
Session Abstract Book
(436KB, May 6, 2020)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule