AVS2018 Session TF-ThP: Thin Film Poster Session

Thursday, October 25, 2018 6:00 PM in Room Hall B

Thursday Evening

Session Abstract Book
(444KB, May 6, 2020)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2018 Schedule

TF-ThP-2 Investigation of Target State by Plasma Emission and Target Voltage Measurements for Reactive Sputtering of Ni oxide thin films with water vapor injection
Yuki Yokoiwa, Yoshio Abe, Midori Kawamura, KyungHo Kim, Takayuki Kiba (Kitami Institute of Technology, Japan)

Reactive sputtering is one of the most commonly used techniques for obtaining compound thin films. It is well known that target mode change is very important in reactive sputtering, because the change in the target surface state induces drastic changes in the deposition rate. In the previous study, we reported that the reactive sputtering with substrate cooling and water vapor injection was promising technique to obtain Ni oxide thin films with high-rate deposition. We speculated that the high deposition rate was realized by metallic target state. In the present study, we investigated the target state in more detail using plasma emission spectroscopy and target voltage measurements.

Ni oxide thin films were formed by a RF magnetron sputtering system. Substrate temperature was varied from room temperature (RT) to -80 °C. Ni metal target was sputtered in Ar and H2O sputtering gas atmosphere, and the flow ratio (H2O/(H2O+Ar)=RH2O) was varied from 0 to 100%. H2O was injected onto the target surface. Target voltage and plasma emission spectrum were measured to investigate the target surface state during sputter deposition. Film thickness was measured using a stylus profiler. The deposition rate was calculated from the film thickness and sputtering time. The optical and electrical properties of the films were studied by UV-Vis spectroscopy and four-point prove method.

At RT, deposition rate decreased from 15-20 nm/min to 4 nm/min above RH2O=20%. On the contrary, at -80 °C, deposition rate increased monotonously with increasing RH2O, and a maximum deposition rate of 35 nm/min was obtained at RH2O=50%, which was approximately 8 times larger than that at RT. Corresponding to the change of the deposition rate, target voltage decreased abruptly from 330 V to 190 V above RH2O=20% at RT when RH2O was increased gradually. In contrast, target voltage maintained a high value of 290-330 V at -80 °C. Plasma emission spectra indicated that emission peaks due to Ni atoms disappeared at RT above RH2O=20%, however, the peaks were clearly observed regardless of the change of RH2O at -80 °C. These results indicate that the Ni target changed from metallic mode to oxide mode above RH2O=20% at RT, however, metallic target mode was maintained at -80 °C.

The optical and electrical properties of the films were studied. The films deposited at substrate temperatures of RT and -80 °C below RH2O=10% have metallic character. And transparent and insulating Ni oxide films were obtained above RH2O=20% at both the substrate temperatures.

In summary, reactive sputtering of Ni oxide thin films in metallic target mode was realized using substrate cooling and water vapor injection.

TF-ThP-3 Rectification and Non-linearity in Ferroelectric Tunnel Junction based on BiFeO3 Ultra-thin Film
Taekjib Choi (Sejong University, Republic of Korea)
Intriguing polarization-mediated charge transport phenomena has driven extensive research on ferroelectric resistive memories, such as ferroelectric tunnel junction, switchable diode, and ferroelectric memristor. Recently, ferroelectric tunnel junction exhibited higher on/off ratio and lower power consumption. However, to realize high density memory devices that are compatible with cross-point stack structures, it requires high rectification and high non-linearity to prevent unwanted leakage current paths through neighboring cells (a well-known sneak path problem) in cross-point structures. In this study, we fabricated the ferroelectric tunnel junction memory device for application to highly integrated vertical memory devices. The charge conduction behavior with switching of ferroelectric polarization in BiFeO3 ultra-thin films based tunnel junctions were investigated. In addition, by introducing space charge layer in ferroelectric tunnel junctions, we demonstrated enhanced rectification and non-linearity in current-voltage characteristics for Pt/BiFeO3/Nb-SrTiO3 tunnel junctions. It was found that on /off ratio reached to maximum 104. Therefore, our ferroelectric tunnel junctions showing both high resistance ratio and nonlinearity factor offers a simple and promising building block of high density non-volatile memory. This research was supported by the MOTIE (Ministry of Trade, Industry & Energy (#10080643) and KSRC (Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device.
TF-ThP-7 Optical and Electrochemical Properties of Rhodium Oxide Thin Films prepared by Reactive Sputtering in O2 or H2O Atmosphere
ChanYang Jeong, Yoshio Abe, Midori Kawamura, KyungHo Kim, Takayuki Kiba (Kitami Institute of Technology, Japan)

Platinum group metal oxides, such as iridium (Ir) oxide, ruthenium (Ru) oxide, rhodium (Rh) oxide have been studied for electrochemical applications, because of their high chemical stability and electrical conductivity. However, reports on Rh oxide thin film were very scarce compared to Ir oxide and Ru oxide thin films. In this study, we investigated density, structure and optical properties of Rh oxide thin films and their effects on electrochemical properties.

Rh oxide thin films with a thickness of 100 nm were prepared by sputtering a Rh metal target in O2 or H2O atmosphere on glass, Si, and ITO-coated glass substrates. The substrate temperature was varied from -20 to 130 °C using a Peltier device. The density of the films was measured by X-ray reflectivity (XRR). Crystal structure and chemical bonding state of the films were characterized by X-ray diffraction (XRD) and Fourier transform infrared spectroscopy (FT-IR), respectively. Optical properties were measured by UV-Vis spectroscopy. Electrochemical properties of the Rh oxide thin films were measured in 1M KOH aqueous electrolyte.

From the XRR measurements, the density of the films deposited in H2O atmosphere was found to be lower than that deposited in O2. The density of the films decreased with decreasing substrate temperature and the lowest density of 4.2 g/cm3 was observed for the film deposited at -20 °C in H2O atmosphere. XRD pattern showed that all the films except for the film deposited at 130 °C in O2 atmosphere were amorphous. From the FT-IR spectra, absorption peaks of Rh-O and O-H bonds were observed on all the films. Higher transmittance was obtained for the films deposited in H2O atmosphere compared to that the of films deposited in O2. It was also found that the transmittance of the films increased with decreasing the substrate temperature, which suggests that the films were partially hydrated. As a result of the cyclic voltammetry measurements, the larger transferred charge density (ΔQ) was obtained for the films deposited in the H2O atmosphere compared to that of the films deposited in O2. And the largest ΔQ of 13 mC/cm2 was obtained for the film deposited at -20 °C in H2O atmosphere.

In summary, it was found that the Rh oxide thin film deposited at -20 °C in H2O atmosphere had the lowest density and showed the highest electrochemical activity.

TF-ThP-8 Interfacial Self-assembled Monolayers as Copper Diffusion Barrier for IGZO Semiconductor Thin Film Transistor
Sung-Eun Lee, Keon-Hee Lim, Jintaek Park, Jae-Eun Huh, Junhee Lee, Eun Goo Lee, Changik Im, Youn Sang Kim (Seoul National University, Republic of Korea)

Copper (Cu) is used in many electrode industrials because of its relatively low resistance and cost competitiveness compared to other metals. Particularly, since semiconductor and display devices require low-resistance electrodes with a high integration, interest in Cu has been more increasing. On the other hand, due to the inherent diffusion tendency, it is known that the Cu ion can easily migrate into Si or oxide based semiconductor and generate reactant with a high resistance at the interface. Thus, deterioration such as hump or abnormal current phenomenon may be occurred. To alleviate the disadvantages, diffusion barriers such as Mo, Ti and various metal alloys with hundreds of nanometer-thick or more have been used so far with micrometer size Cu electrode. However, because of the high integration of devices, the Cu electrode might soon be less than a few hundred nanometers, similar to the physical dimension of metal barrier mentioned above. Ultimately, it would be urgent to develop down sized diffusion barriers of less than ten nanometers in order to take advantage of Cu properly.

Self-assembled monolayer (SAM) is a thin film with a few nanometers to control surface of the material. Recently, many researchers study on SAM as a barrier to prevent migration of Cu ions and electrons onto dielectrics such as SiO2. In this study, several functional groups of CH3, SH, CF3, and NH2 SAM diffusion barriers located between IGZO and Cu were investigated in order to not only prevent migration of Cu ions, but also transfer electrons. As a result, there was no hump or abnormal current phenomenon occurring, therefore it was confirmed that the SAMs can prevent Cu ions migration and transfer electrons. The results of the TFT characteristic were measured similarly at whole functional groups, but the SAMs containing carbon in the functional groups such as CH3 and CF3 showed definitely superior performance in the hysteresis measurement.

We also confirmed that a few properties can be controlled by the body group chain length of the SAM verified by the hysteresis, transmission line method (TLM) and SIMS analysis. In other words, the TFT characteristics can be improved or deteriorated with body group chain length of SAM, and we found that it is very important to determine the proper length. This result is expected to have an important impact on the application of Cu electrode in semiconductor devices in the future.
TF-ThP-9 Atmospheric-pressure Plasma Treatment Effect of Solution-processed Aluminum Oxide Gate Insulator for Oxide Semiconductor Thin-film Transistors
Jintaek Park, Keon-Hee Lim, Sung-Eun Lee, Jae-Eun Huh, Junhee Lee, Eun Goo Lee, Changik Im, Youn Sang Kim (Seoul National University, Republic of Korea)

Solution-processed thin-film transistors (TFTs) have received great attention as a next generation display manufacturing method, because they do not require cumbersome equipment compared to the vacuum process and can be applied to the roll-to-roll process. Therefore, oxide semiconductors such as InOx using aqueous route method have been studied in a simple and inexpensive process. However, most of the research is confined to the semiconductor thin film, and the research on the gate insulating film which is indispensable for the constitution of the TFT device is insufficient.

In general, high-k materials such as AlOx, HfOx, and TaOx have been used as dielectric layers because they can provide large gate capacitance without significantly increasing gate leakage current. Among all high-k dielectric materials, AlOx is widely used because of its low deposition temperature, low cost, and good compatibility with oxide semiconductors.

In this study, AlOx using aqueous route method was deposited by a solution process and its characteristics were evaluated. Characteristic changes through atmospheric-pressure plasma treatment were observed by measurement of capacitance-frequency and breakdown voltage. It was observed that the frequency dependence of AlOx capacitance was reduced by atmospheric-pressure plasma treatment. In general, the frequency dependence of the AlOx capacitance is because of the ions in the layer limit the polarization response time. Thus, the changes of binding relationship according to plasma treatment time were analyzed by X-ray photoelectron spectroscopy (XPS). In addition, TFTs were fabricated by depositing a solution-processed InOx with a semiconductor film, and the characteristics were evaluated. It was observed that field-effect mobility increased from 6.7 to 15.1 compared to the untreated samples. The surface roughness of the AlOx films was investigated by atomic force microscopy (AFM). Semiconductor and gate dielectric fabrication, and plasma processing were performed in a non-vacuum environment and the process temperature was below 250 ℃.

TF-ThP-10 Microstructural and Electrical Properties of Ni Stanogermanides formed on Ge0.92Sn0.08 epi-layer Grown on Si(100) Substrate
HanSoo Jang (Semiconductor Physics Reasearch Center(SPRC), Chonbuk National Universityh, Republic of Korea)

We present a comprehensive study on the microstructural and electrical properties of Ni stanogermanides formed on Ge0.92Sn0.08 epi-layer grown on Si(100) substrate. For the formation of Ni-stanogermanides, 30 nm-thick Ni film was deposited on Ge0.92Sn0.08 film, followed by rapid thermal annealing (RTA) process at the temperatures in the range of 300 – 600 °C for 30 s under N2 ambient. Ni-rich stanogermanide (Ni3(Ge1-xSnx)) phase with cubic structure was formed after RTA at 300 °C, above which Ni-mono stanogermanide (Ni(Ge1-xSnx)) was the only phase formed as a result of solid-state reaction between Ni and Ge0.92Sn0.08. The RTA process at 400 °C led to the formation of Ni(Ge1-xSnx) film having relatively uniform surface and interface morphologies, allowing the minimum value of sheet resistance. The samples annealed above 500 °C underwent the severe structural degradation of Ni(Ge1-xSnx) without maintaining film continuity known as agglomeration, resulting in a rapid increase in the sheet resistance. Regardless of RTA temperature, secondary ion mass spectroscopy (SIMS) results combined with energy dispersive X-ray spectroscopy (EDX) line profiling showed the segregation of Sn atoms near surface and interface region, indicating that the amount of Sn atoms were out-diffused during Ni-stanogermanides process. In particular, laterally confined Se atoms which were distributed along interface between Ni(Ge1-xSnx) island and Ge0.92Sn0.08 film was observed in the sample annealed at 600 °C.

View Supplemental Document (pdf)
TF-ThP-11 Radiation Effects on Al2O3 Thin Films
Hui-Ping Zhu, Xi Chen, Zhong-Shan Zheng, Duo-Li Li, Jian-Tou Gao, Bo Li, Jia-Jun Luo (Institute of Microelectronics of Chinese Academy of Sciences, China)
The radiation response of Al2O3 thin films is investigated using Co-60 gamma rays and energetic Si ions. The Al2O3 thin film was prepared on Si substrates with ALD processes, and Al/ Al2O3/ Si structures were used to observe radiation effects on the Al2O3 dielectric film by the capacitance–voltage (C-V) technology. The results show that there are a lot of hole traps in the ALD Al2O3 film, which can be mainly attributed to oxygen vacancy (VO) defects by calculation analyses based on the first-principles, and total dose effects are also apparent for the Al2O3 film irradiated using energetic Si ions at higher fluence levels. In particular, the combined impact of gamma rays and energetic Si ions on the Al2O3 film is examined at the same time, and the results suggest that electron traps can be introduced in the Al2O3 film due to Si ion irradiations.
TF-ThP-12 Comparative Study of Erosion on Various Polymers and Composites both Coated Using a DC Magnetron Sputtering Process and Uncoated
Stephen Hill, Dorina Mihut, Arash Afshar, Kevin Culp, Zachery Grantham (Mercer University School of Engineering)

Solid particle impact erosion is a progressive loss of the materials’ mass that results from repeated impact of the erodent on the material surface. Materials selection for equipment working in this type of aggressive environmental conditions is a great challenge. These materials must possess some resistance to erosion and have high strength, hardness, toughness, and good corrosion resistance. It would be advantageous to select a lightweight material with good strength and corrosion resistance; however these materials are have limited resistance to impact erosion. Some examples of these types of materials are polymers and composites. However, in some cases, it is necessary to coat the polymers with a metallic coating to enhance certain properties of the materials. This study uses an impact erosion tester to study the effect of accelerated erosion on different polymers, composites, and metallic coated polymers and composites. A two phase mixture is circulated in a custom test fixture and allowed to impact test coupons at specified angles. The study uses three sand concentrations, a fixed liquid flow rate, and a constant impact angle during testing to determine the effect of the material’s properties on the amount of erosion. The metallic coatings on the samples are aluminum, copper, and titanium and were deposited using DC magnetron sputtering equipment and the structures of the coatings was characterized using X-Ray diffraction.

TF-ThP-13 Plasma-enhanced Atomic Layer Deposition of Molybdenum Compounds Thin Films Using Mo(CO)6 with Various Plasma Gases
Jeong-Hun Choi, Seung-Won Lee, Cheol-Min Hyun, Ji-Hoon Ahn (Korea Maritime and Ocean University, Republic of Korea)

In recent years, transition metal oxides and dichalcogenides have received much attention due to its attractive properties for a wide range of applications. Among these materials molybdenum compounds were studied most initiatively and achieved considerable progress. Meanwhile, metallic molybdenum is also widely used as conducting materials in many electronic applications. In this study, therefore, optical, structural and electronic properties of metallic molybdenum and its compounds thin films have been investigated. Plasma-enhanced atomic layer deposition (PEALD) was employed to form the uniform Mo, MoO3, MoS2 thin films. Especially, When Mo(CO)6 was used as the precursor, different kinds of plasma gases such as O2, H2, H2S and their mixtures for reactant resulted in selective growth of Mo, MoO3, MoS2, respectively. Basically, the ALD characteristics with each reactant were studied. The ellipsometry, raman spectroscopy, photo luminescence, X-ray photoelectron spectroscopy, scanning electron microscopy were used to examine film characteristics according to the different precursor combinations and growth conditions. Furthermore, the potential of metallic Mo as well as Mo compounds for device component was investigated.

View Supplemental Document (pdf)
TF-ThP-14 Development of Metal Linear Evaporator for OLED Panel Mass Production of Gen.6 half and Gen. 8 lines
Jung Hyung Kim (Korea Research Institute of Standards and Science (KRISS), Republic of Korea); Ming Sung Kang, Kang Sik Shin, DongMin Lim (Fineva Co., Republic of Korea)
In the OLED processes, the metal layer such as Ag, Mg, or Al need to be deposited on the substrate for forming cathode. Usually metal layer is deposited by using a sputtering method in LCD panel processes. In the OLED processes, we cannot use sputtering method because of charge damage on the organic layer from the plasma. We can use only the evaporation method for the deposition of metal layer. A point evaporator has been used for metal layer deposition in the OLED process. In Gen. 6 half mass production line, the substrate hung from the ceiling is rotated to acquire good uniformity of the deposition film. In Gen. 8 mass production line, point evaporators are arrayed and the substrate is scanned on the ceiling. These method of rotation and arrays are difficult to get good uniformity. Since the distance between evaporator and substrate is very long about 1000 mm, metal usage is very low about 10 %. Therefore, the demands of metal linear evaporator has been very high in the field of mass production lines. We developed a metal linear evaporator for OLED panel mass production of Gen 6 half and Gen. 8 lines. The heating method is an inductive heating with a few tens kHz of frequency and the crucible of one body is graphite. The distance between the evaporator and the substrate is less than 500 mm. The direction of evaporation is bottom-up. The uniformity of Ag film deposited with the deposition rate of 5 A/s is less than 5 % in the length of 900 mm. Our technology can be extended to a vertical type or top-down evaporator.
TF-ThP-15 Study of W Film Properties on Various Treated TiN/AlO Underlayer
Dong-Hoon Han, Donghwan Lee, Mi-seok Park, JongYong Bae, Joonho Lee, Yoonbon Koo (Samsung Electronics, Republic of Korea)
We experimentally investigated property changes of the tungsten according to the treatment condition of TiN/AlO underlayer. SIMS measurement shows that the growth rate of the W nucleation layer has a dependency of TiN coverage on AlO layer. Oxidation conditions on the TiN/AlO layer also affect to the amount of deposited tungsten. The calculation result using DFT (Density Functional Theory) of the reaction of the precursor gases in the nucleation layer confirmed that thinner TiN deposition is more favorable for nucleation of tungsten. Free OH density on the surface of TiN/AlO layer and oxidation precipitates from rapid oxidation can affect to the deposition rate of the W films. The experimental and calculation results provide the insight to gain a promising approach of the selective tungsten deposition for VNAND device or high aspect ratio semiconductor features.
TF-ThP-16 Fabrication of Mo/B4C Periodic Films on the High Reflective Mirror for Applications in Beyond Extreme Ultraviolet Lithography
Chao-Te Lee, Wei-Chun Chen, Hung-Pin Chen, Meng-Kun Wang (Instrument Technology Research Center, Taiwan, Republic of China)

The extreme ultraviolet lithography (EUVL) is based on all-reflective optics operating at wavelength of 13.5 nm, was been proposed the new lithographic systems for the semiconductor industry. Beyond extreme ultraviolet lithography (BEUL), the center wavelength of 6.7 nm, is also being considered as the next generation of EUVL. In this study, the absorber layer (Mo) and space layer (B4C) materials with various complex refractive index were been used to design the periodic films application in BEUVL at 6.7 nm wavelength by RF magnetron sputtering system. The effects of working pressure, RF power, and substrate bias on the microstructure, roughness and optical properties of films were investigated by field emission scanning electron microscopy, X-ray diffraction, atomic force microscopy, high resolution transmission electron microscope, and EUV spectrometer. The possibility of absorber layer/space layer periodic films application for BEUVL optical device with high reflectance was also investigated.

TF-ThP-17 Effects of the Electric Field Application for the Photocatalytic Property of TiO2/Ni Thin Films
Taishi Segawa, Ichiro Takano (Kogakuin University, Japan)

In recent years, titanium oxide (TiO2) has been attracting attention by its various properties and has been studied in a wide field such as application to solar cells or medical instruments. One of the reasons why TiO2 is the superior material as a photocatalyst is that the photo-excited state is very stable and does not cause autolysis. Therefore TiO2 irradiated with ultraviolet rays can make the electrolysis of water stably proceed. On the other hand, the inferior point of TiO2 is that the absorption wavelength is limited to the ultraviolet region under 380 nm. Therefore, many researchers have studied to improve the efficiency of the light reaction of TiO2. The distinctive point of our study is the energy supply of electricity with the light irradiation. In this report, the optimum conditions of each film thickness and applied voltage were examined.

In this study, the glass substrates of 15 × 9 mm cleaned by an ultrasonic cleaner with acetone for 5 minutes were used. The TiO2/Ni thin films were prepared by the multi-process coating apparatus with magnetron sputtering sources. The TiO2 thin film preparation was carried out by sputtering a Ti target introducing an Ar and an O2 gas. An Ar gas and an O2 gas flow rate were set to 1.5 sccm and 20 sccm, respectively. The glass substrates were heated to 200 degrees by an infrared heater. The film thicknesses of TiO2 were changed with 0 - 200 nm and Ni were changed with 25 - 100 nm to investigate the suitable condition.

The crystal structure of each thin film was analyzed by X-ray diffraction. Optical properties were measured using a UV-Visible spectrophotometer. The photocatalytic properties were measured by a methylene-blue immersion test under irradiation of the artificial sunlamp (visible light) for 2 hours. The change of the methylene-blue transmittance was measured using a UV-Visible spectrophotometer.

In this study the change of the methylene-blue transmittance was regarded as the effect of photocatalytic characteristics. The transmittance of a voltage application showed 8 times from 4 times as compared with the case of an unapplied voltage. The transmittance of a methylene-blue solution for the TiO2/Ni (200/100) thin film showed the highest value of 48 % by a voltage application. On the other hand, the applied voltage dependence of the TiO2/Ni (200/100) thin film showed the highest value at 2.0 V in an applied voltage. Over 2.0 V of an applied voltage, the transmittance of a methylene-blue solution gradually decreased. It was considered that the recombination of electrons and holes was delayed by applying a voltage of an appropriate value.
TF-ThP-18 Crystallization Behavior and Thermal Stability of Zr-based Metallic Glasses
JinSoo Park, DaHye Song, JinKyu Lee (Kongju National University, Republic of Korea)

Metallic glasses have attracted significant attention over recent year because of their unique properties such as high strength, superior magnetic properties and super-plasticity. Since the metallic glasses crystallize by a nucleation and growth process, crystallization process offers a unique opportunity of study under controlled conditions of the growth of crystals. It also provides information of the relative thermal stability of metallic glasses. As well as, study on the crystallization behavior of metallic glasses will help to control and optimize the properties of metallic glasses. Recently, for practical applications, thin film metallic glass coating by sputter deposition seems to be an alternative way for the application of metallic glass.

In this study, we report the thermal stability and crystallization behavior of Zr-based metallic glasses. Zr-based metallic glasses were fabricated by melt spinning and RF magnetron sputtering, respectively. Structural characterization of metallic glasses was investigated by X-ray diffractrometry, scanning electron microscopy and transmission electron microscopy. Thermal stability was investigated by differential scanning calorimetry during the continuous heating and isothermal annealing modes in the supercooled liquid region. Mechanical properties were determined by nano-indentation experiments using the continuous stiffness measurement method.

TF-ThP-19 The Investigation of the Chemical State of the PTFE Surface Treated by Ar Plasma
Koki Iesaka, Ichiro Takano (Kogakuin University, Japan)

Polytetrafluoroethylene (PTFE) has excellent characteristics such as low friction coefficient, flame retardancy, electrical insulation, chemical resistance, etc. By utilizing these characteristics, PTFE is applied in various fields such as semiconductors, chemical plants, automobiles, etc. Furthermore, improvement of water repellency or hydrophilicity for PTFE leads to development of a new material with high-performance characteristics or a wider application.

In this study, two types of PTFE were used as samples. Those were a fluororesin adhesive tape (NITTO ) referred as T-PTFE in this paper and a NAFLON sheet (NICHIAS) referred as N-PTFE. The experimental conditions were 120 - 1200 s in an irradiation time and 50 - 200 W in an RF input power of Ar plasma under an ultimate pressure of < 6.0x10-6 Pa. The observation of the surface morphology was carried out by a laser microscope (OLS 4500; OLYMPUS Co. Ltd.) and a field emission Auger micro probe (JAMP-9500F; JEOL Ltd.). The determination of the surface characteristics was carried out using a contact angle meter (DM-300; Kyowa Kaimen Kagaku Co. Ltd.) about a contact angle of water and X-ray photoelectron spectroscopy (ESCA-K1S; SHIMADZU Co. Ltd.) about a surface chemical state.

In the case ofN-PTFE, the surface C and O atomic concentration measured by X-ray photoelectron spectroscopy gradually increased until 120 s in an Ar plasma treatment time. Over 120 s those concentration gradually decreased and approached those of the untreated N-PTFE at 600 s. On the other hand, from the contact angle measurement of water, the surface characteristic gradually changed to hydrophilicity until 120 s as compared to the untreated N-PTFE. It was considered that the CF2 bonds of PTFE which induced water repellency decreased by preferential sputtering of F and the free bonds of C absorbed O2 of the air or H2O.

The large treatment energy such as ion beams caused the formation of the needle-like morphology, while the low energy of Ar plasma changed only a chemical state regardless of a treatment time. It became clear that the treatment energy for the stable polymer like PTFE influenced the chemical state or the morphology.


TF-ThP-20 The Influence of ZnO Layers for Photovoltage of Cu2O/ZnO/TiO2 Thin Films Prepared by Reactive Sputtering
Keisuke Ishizaka (Kogakuin University,Japan); Ichiro Takano (Kogakuin University, Japan)

As one of solution methods of the power shortage and global warming, a renewable energy such as solar cells is desired. In addition the high purity silicon that is the main raw material for solar cells is insufficient worldwide, and so new solar cells without silicon that are able to be replaced to silicon-based solar cells have been required. Practical application of oxide-based thin film solar cells is expected in reduction of the energy cost or the environmental load.

Generally a typical oxide-based thin film solar cell is known as a wet dye-sensitized solar cell composed of an electrolyte, an electrode of a titanium oxide and a sensitizing dye. Recently a solid-state dye-sensitized solar cell which uses metal oxides instead of an electrolyte has been studied. In our previous study on Cu2O/TiO2 solid-state dye-sensitized solar cells, the main problem was Cu diffusion from a Cu2O layer to a TiO2 layer. Because the diffusion of Cu to a titanium oxide layer induces the collapse of p-n junction, various materials as an intermediate layer between Cu2O and TiO2 have been attempted.

The ZnO layer used in this study has characteristics of a transparent oxide semiconductor, a low electrical resistivity or an n-type semiconductor. Especially the ZnO layer show a lower value about an electrical resistivity than the NiO layer or the TaON layer which had been used in the previous study.

Cu2O/ZnO/TiO2 solar cells were fabricated by reactive magnetron sputtering. As substrates, the EAGLE XG glass and the ITO-film coated glass were ultrasonically cleaned by acetone. The oxide layers were deposited on those substrates by using pure metals as a sputtering target material in an oxygen gas atmosphere. The flow rate of an argon gas for sputtering was kept at 15 sccm of Cu2O, and at 20 sccm of TiO2 and ZnO. The flow rate of an oxygen gas for sputtering was kept at 1.5 sccm of TiO2, and at 10 sccm of Cu2O and ZnO A thickness of a TiO2 and a Cu2O layer was kept at 200 nm, while a thickness of the ZnO layer was changed from 2 nm to 50 nm. Cu2O/ZnO/TiO2 solar cells were successfully fabricated by reactive magnetron sputtering. The investigation of Cu2O/ZnO/TiO2 solar cells were carried out by an x-ray diffraction, a spectrophotometer and a field emission Auger microprobe.

TF-ThP-21 The Formation of Amorphous Carbon Thin Films by Ion Beam Mixing
Kenji Iwasaki, Ichiro Takano (Kogakuin University, Japan)

Recently, single-layer graphene sheets, diamond films or carbon nitride films have attracted attention as high-functional materials containing carbon. Among them, Diamond-Like Carbon (DLC) is an amorphous carbon film showing superior characteristics which are close to diamond. Since those characteristics are high hardness, low friction coefficient, etc., amorphous carbon is used in a wide range of mechanical fields such as machine parts or engine parts. On the other hand, as new characteristics of amorphous carbon, the gas barrier or the biocompatibility is studied and amorphous carbon is also applied to food containers or medical instruments.

Amorphous carbon films are fabricated by various methods such as physical vapor deposition or chemical vapor deposition. Because almost amorphous carbons have the high internal stress, the adhesion between the formed carbon film and the substrate became often a important problem. In our studies, amorphous carbon films have been formed by using the ion beam irradiation in a hydrocarbon gas atmosphere to improve the adhesion between the carbon film and the substrate. Generally it is known that the mixing layer in interface of a substrate is formed by high energy ions. In the experiment of the ion beam mixing method, the multi-process coating apparatus with a mass analyzer of 45 degrees in a beam line from the Freeman type ion source was used. C2H2 used as an atmosphere gas has a higher deposition rate than other hydrogen gases such as ethylene (C2H4) or methane (CH4).

In the experiment, an N+ or an N2+ ion beam was irradiated in a C2H2 atmosphere to various substrates (Stainless steel, Al, Cu, Si) at conditions of 20 kV in an ion energy and of 2 - 10 mA/cm2 in an ion current density. Obvious depth profiles of N element was obtained by a field emission Auger micro probe, while the slight deposition of amorphous carbon on the surface of each substrate was observed regardless of a value of an implanted N element.

TF-ThP-22 Enhancing Ultra-violet Optical Properties of Aluminum Mirrors with a Single Step Approach to Oxide Removal and Fluorine Passivation
David Boris (U.S. Naval Research Laboratory); Alexander Kozen (ASEE Postdoctoral Fellow); Javier del Hoyo, Manuel Quijada (NASA Goddard Space Flight Center); Scott Walton (U.S. Naval Research Laboratory)

Astronomical measurements in the Far Ultra-violet (FUV, 90-200nm) have typically relied on aluminum thin films due to aluminum’s high reflectivity over this wavelength range. Unfortunately, the native aluminum oxide layer formed in atmosphere is strongly absorbing in this wavelength range, requiring that the aluminum films be passivated with a dielectric that inhibits oxidation. Due to the fast oxidation of aluminum, a simultaneous etch and deposition process is required to both eliminate the native aluminum oxide after growth and replace it with a different passivation coating layer. Optical measurements in the FUV range are some of the most challenging due to limited selection of low reflectivity coatings available for use on aluminum thin films. Typically magnesium fluoride (MgF2) or lithium fluoride (LiF) coatings are used for these passivation purposes but each has its problems. MgF2 has an absorption cutoff at 115 nm occluding a critical part of the FUV spectrum. LiF has a lower absorption cutoff at 102.5 nm, but is hygroscopic and thus susceptible to degradation in ambient conditions. A promising alternative to these coating materials is AlF3, which theoretically can provide reflectivity greater than 50% down to 100 nm if the coating is sufficiently thin. In this work, we explore the use of electron beam generated plasmas to simultaneously etch the native oxide layer from aluminum thin films while depositing an AlF3 capping layer to passivate the aluminum reflector. XPS measurements indicate that this approach is cable of producing very thin (<5 nm) AlF3 films with some mild oxygen contamination. We will discuss the impact of plasma power, chemistry, and time on the composition and structure of the passivating layer and its subsequent optical properties.

________________________________

* This work partially supported by the Naval Research Laboratory Base Program

TF-ThP-23 Cu Films on Thermoelectric ZnSb
Terje Finstad, Xin Song, Henrik Riis, Øystein Prytz (University of Oslo, Norway)
ZnSb is a semiconductor that is experiencing a renewed interest as a thermoelectric material (as well for other applications). For thermoelectric applications the high abundance of the elements and their low toxicity are favorable. Most of the reports have been on optimizing the material without explicitly addressing the integration into a thermoelectric module. The necessary physical and electrical contacts to the material are important, challenging efficient fabrication, durability, thermal stability, thermal stress etc. The detailed understanding of the thermoelectrical material ZnSb in intimate contacts with metals is lacking. This work is our first step towards studying metal contacts to ZnSb. We start by studying deposited Cu films on ZnSb because Cu may be one of the constituents of a metallization scheme. Some of the reasons for choosing Cu is that its thermal expansion matches that of ZnSb, Cu has low cost and the technology for bonding patterns to insulator substrates like alumina is well established. Further Cu is a p-type dopant for ZnSb yielding optimum thermoelectric characteristics at the solubility limit. The solubility of Cu in ZnSb should thus promote tunneling and low contact resistance.

The Cu/ZnSb interface has been investigated after heat treatments in the temperature range 200 to 350°C. The ZnSb samples were made by hot pressing grains of ZnSb. A 100nm thick layer of Cu was e-beam deposited. The samples are characterized by SEM with EDS and several TEM techniques. The TEM specimens were made by Focused Ion Beam. The elemental distributions and phase formation will be presented. The contact resistance of the samples is also under investigation.

TF-ThP-24 Using a Semitransparent Underlayer to Determine Optical Constants of a Mostly Opaque Layer by Thin Film Interference: Application to AlF3 on Al in the Extreme Ultraviolet
Gabriel Richardson, Kylie Wolfe, Maximiliano Barona, Steven Turley, David. D Allred (Brigham Young University)
The presence of interference fringes in thin-film reflectance and transmission are invaluable in obtaining thicknesses and optical constants of thin-film materials. When a material is highly absorbing, however, interference fringes may not be produced. One particularly noteworthy technique to deal with this complication in and near the visible range is to place a transparent layer beneath the semitransparent thin-film whose optical properties are to be determined. (Hilfiker, et al.) A portion of the light passing through the film, reflecting off the substrate and then, transmitting again through the film, interferes with the front-surface reflected light producing interference fringes whose position depends on the layers’ thicknesses and indices, and the light’s wavelength and angle of incidence. The damping of the fringes also highly constrains the optical constants of the overlayer. We have extended this approach into the extreme ultraviolet to obtain the optical constants of aluminum fluoride between 17.1 and 49.5 nm using evaporated aluminum as the “transparent” interference layer. The aluminum fluoride is evaporated within minutes after the aluminum and without breaking vacuum so as to minimize the presence of oxygen on the aluminum film. The AlF3 also acts as a barrier layer, drastically retarding the oxidation of the aluminum film after it is removed from the deposition system. Complications associated with obtaining the AlF3 EUV constants include: first, the fact that the aluminum layer is not perfectly transparent, and second that, in some cases, there is an ultrathin film of aluminum oxide on the Al which formed before the barrier is deposited or forms gradually with time afterwards. In fact, it is in probing the time evolution of such oxide layer thicknesses that the technique has the promise of becoming particularly useful for studying barrier layers for broadband Al mirrors. Hilfiker, James N.; Singh, Neha; Tiwald, Tom; et al., “Survey of methods to characterize thin absorbing films with Spectroscopic Ellipsometry,” THIN SOLID FILMS, 516(22), (2008) 7979-7989.
TF-ThP-25 Thermoelectric Properties of Sb2Te3 Thin Films
Eshirdanya McGhee, Bir Bohara, Charles Payton, Sandra Gere, Satilmis Budak (Alabama A&M University)

The efficiency of the thermoelectric materials (figure of merit, ZT) that found to be limited in bulk structures has shown an enhancement by introducing nanostructures such as nanowires, multilayers, and nanocomposites. ZT relies on the Seebeck coefficient (S), the electrical conductivity (σ) and thermal conductivity (K). Nanostructure thin films have shown enhancement in ZT then bulk thermoelectric materials. DC/RF magnetron sputtering technique was utilized to fabricate nano-scale thin films of antimony telluride (Sb2Te3) on SiO2 substrates using Sb2Te3 target. Thermal annealing was carried out to enhance thermoelectric efficiency by forming quantum structures within the films. The Seebeck coefficient, van der Pauw four-probe resistivity, mobility, Hall coefficient, density, measurements were performed, and power factor has been found to be improved in nano-scale thin films by thermal annealing. Thermal treatment showed positive effects on the thermoelectric properties of Sb2Te3 thin films on the selected temperatures. The findings will be shown during the meeting.

Acknowledgement

Research was sponsored by NSF with grant numbers NSF-HBCU-RISE-1546965, NSF-MRI-1337616, DOD with grant numbers W911 NF-08-1-0425, and W911NF-12-1-0063, U.S. Department of Energy National Nuclear Security Administration (DOE-NNSA) with grant numbers DE-NA0001896 and DE-NA0002687.

TF-ThP-26 Thermal Annealing Effects on the Thermoelectric Properties of CoAg Thin Films
Satilmis Budak, Sandra Gere, Eshirdanya McGhee, Evander Gamble (Alabama A&M University)

Many developed countries go to the sustainable, efficient, and renewable energy sources due to the high demand in energy usage. Power generation from the thermoelectric materials is one of the promising area among the others. Efficient thermoelectric materials (TE) could convert heat into electrical energy. These materials are used as thermoelectric generators (TEG) using Seebeck effect, and thermoelectric coolers (TEC) using Peltier effect. The heat generated from TE could be used in many areas like in electrical powers, automobiles, airlines, and marine vessels. The dimensionless figure of merit, ZT, shows the efficiency of the thermoelectric materials and/or devices. ZT is calculated by multiplying the square of the Seebeck coefficient with the electrical conductivity and the temperature, then dividing it all by its thermal conductivity. DC/RF magnetron sputtering technique was used to fabricate nano-scale thin films of Cobalt-Silver (CoAg) on SiO2 substrates using Co and Ag targets with co-deposition procedure. Thermal annealing was introduced to enhance thermoelectric efficiency by forming quantum structures within the films. The Seebeck coefficient, van der Pauw four-probe resistivity, mobility, Hall coefficient, density, measurements were performed, and power factor has been found to be improved in nano-scale thin films by thermal annealing. The findings will be shown during the meeting.

Acknowledgement

Research was sponsored by NSF with grant numbers NSF-HBCU-RISE-1546965, NSF-MRI-1337616, DOD with grant numbers W911 NF-08-1-0425, and W911NF-12-1-0063, U.S. Department of Energy National Nuclear Security Administration (DOE-NNSA) with grant numbers DE-NA0001896 and DE-NA0002687.

TF-ThP-28 Interlayer Effect for Photocatalytic Properties of TiO2/Cu2O Thin Films Prepared by Reactive Sputtering
Akihiro Joichi, Ichiro Takano (Kogakuin University, Japan)

In recent years, various properties of TiO2 have attracted attention and the studies have been conducted in a wide field. Particularly, in photocatalytic properties, TiO2 have antifouling or antibacterial actions, and is applied to the outer wall of the building or the inner wall of the operating room. However, as the inferior point of TiO2, the absorption wavelength is limited to an ultraviolet light. In the previous study, it was attempted to expand the absorption wavelength to a long wavelength by inserting a Cu2O layer under a TiO2 layer. On the other hand, because the photocatalytic properties of TiO2 deteriorated by Cu diffusion from Cu2O, a NiO layer or a TaON layer was inserted as the intermediate layer to prevent the diffusion. In this research, the effect of an inserted ZnO layer was investigated for the photocatalytic property and was compared with a NiO layer or a TaON layer.

Glass (Eagle XG) plates processed to 15 mm × 9 mm were used as substrates, and ultrasonic cleaning was performed using acetone for 5 minutes for the substrates. The multi-process coating apparatus (BC5146, ULVAC) was used to clean up the substrate by rf sputtering and to deposit the thin films of TiO2, ZnO and Cu2O. The deposition chamber was equipped with RF and DC sputtering cathodes. Each oxide film was formed by sputtering a Ti, a Cu or a Zn metal target in an O2 atmosphere. The ultimate pressure of the deposition chamber was 8.0 × 10 -6 Pa and deposition pressure was 0.08 Pa by introducing an Ar and an O2 gas. Photocatalytic properties were measured by a methylene blue immersion test. In the methylene blue immersion test, a quartz cell filled by 3 ml of a methylene blue solution of 10 ppm was used and the sample immersed therein was irradiated by sterilizing light or artificial sun light for 6 hours. The chromaticity change of the methylene blue solution was measured by using a spectrophotometer at a predetermined time.

In the multi-layer thin films inserting different oxide layers, the photocatalytic measurement of the TiO2/TaON/Cu2O thin film showed the highest transmittance, because the optimum condition of a ZnO layer was not enough. The optimum thickness of a ZnO layer will be investigated until the conference.

TF-ThP-29 The effect of Proton Radiation on ALD HfO2 Films and HfO2 based RRAM
Panpan Xue (University of Wisconsin-Madison); Ziwen Wang (Stanford University); Tzu-Husan Chang (University of Wisconsin-Madison); Yoshio Nishi (Stanford University); Zhenqiang Ma, J. Leon Shohet (University of Wisconsin-Madison)

The effect of proton radiation on HfO2-based resistive-random-access-memory (RRAM) is investigated using 10 and 300-keV protons with fluences of 1013 and 1015 cm-2. The I-V characteristics, set and reset voltage, forming process and HRS resistance were measured before and after irradiation. Each RRAM cell has a Pt/HfO2/TiN metal-insulator-metal structure and a 5-nm HfO2 deposited with ALD. All RRAM devices operated functionally after proton irradiation. However, a number (about 30%) of the 10-keV proton-exposed RRAM cells were formed and set to LRS after exposure. In addition, the HRS resistance exhibited a significant decrease after irradiation resulting from displacement damage. After a period of time at room temperature, the radiation-induced damage to the HfO2 film recovered and the HRS resistance increased compared to the initially exposed samples. The displacement damage produces oxygen vacancies and interstitial oxygen atoms that contribute to the generation of the conductive filaments. The oxygen vacancies generated during proton irradiation at the interface can affect the forming process.

TF-ThP-30 Comparison of Hafnium Oxide and Zirconium Oxide for Fabricating Electronic Devices
Kenneth Davis, Zackary Duncan, Michael Howard, Teandrea Wimbley, Zhigang Xiao (Alabama A&M University)

Thin films of hafnium dioxide (HfO2) and zirconium oxide (ZrO2) are used widely as the gate oxide in fabricating integrated circuits (ICs) because of their high dielectric constants. In this research, we report the growth of hafnium dioxide (HfO2) and zirconium oxide (ZrO2) thin film using atomic layer deposition (ALD), and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using the HfO2 and ZrO2 thin films as the gate oxide. MOSFETs, CMOS inverters, and CMOS ring oscillator were fabricated, and the electrical properties of the fabricated devices were measured. The measurement results on the devices fabricated with the two films were compared, and will be reported in the Conference.

TF-ThP-31 Development of the Synchrotron-based Capabilities for Direct, In-situ XANES/XAFS Measurements of Thermal ALD: Initial Proof-of-Concept Study Exploring ZrO2 ALD
David Mandia, Bahadir Kucukgok, Steven Letourneau, Matthew J. Ward, Angel Yanguas-Gil, Jeffrey Elam (Argonne National Laboratory)

Atomic layer deposition (ALD) has become an essential tool for the design and fabrication of electronic materials key for a wide range of applications from semiconductor processing to advanced power electronics and photovoltaics. The resulting materials are characterized by thicknesses in the nm scale, they are typically amorphous and yet they exhibit unique properties that depend on growth conditions in ways that are not yet understood. As an example, ferroelectric hafnium oxide has been recently reported, achieved through the stabilization at the nanoscale of a non-centrosymmetric orthorhombic phase that is not stable at ambient pressure for bulk materials. Another example is the development of nanolaminate (NL) materials, composite thin films comprised of alternating layers of metal oxides (eg. HfO2 and ZrO2 in the case of ferroelectric HfO2)that demonstrate unique optical, mechanical, and electrical properties. To this end, the stable monoclinic phase found for HfO2 has Hf-O bonds with 7-fold coordination at room temperature whereas the tetragonal phase, which is favoured for ZrO2 at room temperature, has Zr-O bonds with an 8-fold coordination environment. The intermixing of these phases by tuning the number of ALD sub-cycles of each component ─ thereby changing the NL composition ─ can lead to non-trivial phase transformations in their local structure that deviate from their bulk composition. In this work we explore the genesis and evolution of ZrO2 films in the first 10 ALD cycles using a custom conflat cube reactor design for in-situ (fluorescence mode) XANES/XAFS measurements at the Advanced Photon Source. We will present results of the direct XANES/XAFS measurement of single TDMAZr and H2O exposures during the ZrO2 ALD process, results of initial proof-of-principle experiments using the in-situ XAFS/XANESchamber and ex-situ XAFS/XANES results of (HfO2)x(ZrO2)y NLs.

TF-ThP-32 Nitridation of Transition Metal Oxide Films
Li Chang, Wei-Lu Chen, Kun An Chiu, Yu Siang Fang (National Chiao Tung University, Hsinchu, Taiwan, Taiwan, Republic of China)
Transition metal nitrides are of important industrial applications due to their good electrical conducting properties with high hardness. For formation of the nitride films, plasma nitridation of TiO2, HfO2 and ZrO2 films on Si (100) substrates has been studied with microstructural characterization of x-ray diffraction, electron microscopy,and x-ray photoelectron spectroscopy. Nitriding was performed by using microwave plasma with gas mixture of nitrogen and hydrogen. It is found that microwave plasma is efficient to transform oxide into nitride from the surfaces of the oxide films. With extended nitriding time, a nitride film can be obtained on Si. Furthermore, an epitaxial nitride film can be obtained from an oxide film which was in epitaxy with Si.
TF-ThP-33 The Evolution of Atomic Layer Processing as a Field: Atomic Layer Etching, and its Connections with Atomic Layer Deposition
Elsa Alvaro (Northwestern University); Angel Yanguas-Gil (Argonne National Laboratory)
Atomic layer processing, with its ability to manipulate materials with atomic layer precision, is becoming increasingly relevant for a wide range of applications. A counterpart to Atomic Layer Deposition (ALD), Atomic Layer Etching (ALE) allows controlled layer-by-layer removal of material by using self-limiting, sequential steps. This technique, which appeared in the 1990s, has garnered the interest of the semiconductor industry, where the ability to remove material selectively and in a self limiting way could help fabricate structures and devices at increasingly smaller dimensions. In this paper, we describe the trajectory of ALE, and its connection and overlap with ALD and other research fields. Using citation, network and text analysis methods, we have studied trends in topics, authors and publications. In addition, we have examined the overlap between ALD and ALE, using co-citations as a way of identifying papers that may draw from both fields. The ultimate goal is to understand not only the evolution of ALE, but whether ALD and ALE are effectively merging into a larger, atomic layer processing community.
TF-ThP-34 Optical Characterization of SiC Thin Films on Si(111)
Kjeld Pedersen (Aalborg University, Danmark); Raghavendra Juluri (Aarhus University, Denmark); Peter Kjaer Kristensen (Aalborg University, Denmark); John Lundsgaard Hansen, Brian Julsgaard (Aarhus University, Danmark)

Thin SiC films grown on Si wafers are potential substrates for wide bandgap devices with applications in optoelectronics, power electronics, and micro-electromechanical systems.Recently a new method for growth of epitaxial SiC layers on Si has been developed where carbon atoms are substituted into the Si matrix. The process leads to nucleation of SiC with a crystalline structure and orientation dictated by the original Si matrix. Such SiC films may serve as substrates for further growth of wide bandgap device layers such as nitrides or SiC by CVD processes. However, SiC appears in a large number of polytypes with different properties when used as substrates for further film growth. Furthermore, the SiC thin films on Si form columnar structures with properties that depend strongly on growth conditions. Characterization of polytypes as well as the nanocrystalline structures is therefore important in order to develop high quality device layers. In this work the effect of SiGe buffer layers introduced at the Si surface before SiC growth is investigated by optical techniques.

Photoluminescence is expected to be weak from SiC bulk crystals due to the indirect bandgap. However, the nanocrystaline structure of the SiC layers results in enhanced exciton recombination as the small sizes can relax the selection rules. Green luminescence appearing consistently with a peak at 2.5 eV and a long low-energy tail is ascribed to the surfaces of the SiC columns of the film, possibly an oxide formed during the high –temperature growth process. Luminescence in the blue and UV range indicates stacking faults with hexagonal elements included in the 3C matrix material. Very sharp UV peaks may indicate quantum confinement effects associated with the hexagonal inclusions.

Optical second harmonic generation (SHG) is sensitive to the crystal structure and depends on the SiC polytype. Strong SHG signals at normal incidence show that the film is dominated by 3C SiC since the symmetry of hexagonal structures is too high to give SHG in this configuration. Azimuthal rotations of the samples demonstrate the expected 3-fold symmetry. Films grown on vicinal Si(111) substrates show a 1-fold symmetry contribution that is comparable to the 3-fold contribution, indicating that the SiC crystal aligns with Si planes. Introduction of the SiGe buffer layer increases the 3-fold contribution to the SHG signal by an order of magnitude. Since the recorded normal incidence 3-fold symmetry signal only exist as a result of cubic crystal symmetry this is a clear signature of a more well-ordered crystal structure with the SiGe buffer layer.

TF-ThP-35 Nanocarbon based Field Assisted Electron Emitter Arrays for Development of Electrical Propulsion for Nano Satellite
Nirupama Prasad (Jain University,Bangalore, India)

Small Satellites play a significant role in the era of Information & Communication Technology (ICT) and Internet of Things (IoT). The advancement in technology today allows the satellites to become smaller and yet carry greater capacity and capability payloads. Small satellites are expected to be used for applications in domains including environment, agriculture, climate change, mapping, navigation, and Scientific Research. The Indian Space Research Organization (ISRO) has launched over 9 student satellites and also expanding the scope of small satellite launch. The extension of useful life of these small satellites depends very much on the ability to provision propulsion capability in these satellites. Hence the current effort is to develop indigenous capability for the development of nanocarbon based Field Assisted Electron Emitter Arrays to be used in Field Emission Electrical Propulsion (FEEP) system for nanosatellite.

TF-ThP-36 Investigation of Synthesis Yield Variation of Single-Walled Carbon Nanotubes inside Horizontal Chemical Vapor Deposition Systems
Goo-Hwan Jeong, Sung-Il Jo (Kangwon National University, Republic of Korea)

Single-walled carbon nanotubes (SWNTs) have been expected to be applied to various fields such as nanoelectronic devices, transparent conducting films, energy devices and sensors due to their outstanding physical and chemical properties. A chemical vapor deposition (CVD) is the most popular method for SWNTs synthesis because of its simplicity on SWNTs synthesis process and easy control of process parameters. In general, most of the synthesis experiments have been performed in the central region of the reactor so far where temperature uniformity is guaranteed.

In this study, we report the result of detail investigation of the SWNTs synthesis yield depending on sample position in a horizontal CVD reactor. Methane and Fe thin films were used as feedstock and catalyst for SWNTs synthesis, respective ly. Ultra high resolution scanning electron microscope (UHR-SEM) was used to confirm the synthesis yield variation of SWNTs along the axial distance of the reactor. The morphology and crystallinity of the synthesized SWNTs were evaluated by atomic force microscope and Raman spectroscopy, respectively. Main result of this study shows that the highest synthesis yield of SWNTs was observed at the rear region of the reactor not the central region at all synthesis conditions. The results of this study are expected to be applicable to the synthesis of various nanomaterials using CVD process.

TF-ThP-37 Optical and Mechanical Properties of Diamond-like Carbon Thin Film deposited by Filtered Cathodic Vacuum Arc Source for Durable Coating of Infrared Optics
Jung-Hwan In, Min-Woo Seo, Haeng-Yun Jung, Seon Hoon Kim, Ju Hyeon Choi (Korea Photonics Technology Institute, Republic of Korea)

Diamond-like carbon (DLC) thin film is used as a durable coating in many applications (e.g. infrared optics, mold core for glass lens, engineering ceramic, cutting tool and sliding part in the engine of vehicle). DLC thin film can be categorized according to hydrogen content and sp3 bonding content [1]. Among several kinds of DLC thin film, an a-C:H thin film containing hydrogen atoms usually has been used for IR optics [2]. In this study, it was shown that tetrahedral amorphous carbon (ta-C) thin film deposited by filtered cathodic vacuum arc (FCVA) source without hydrogen addition can be used as durable coating in far and mid-IR optics. The optical transmittance in infrared and mechanical properties by using nano-indentation were measured in various substrate bias voltage conditions. The optical transmittance and hardness decreased with the substrate bias voltage. The refractive index and extinction coefficient were estimated in 1.2 μm thick ta-C coating on a Si window. The hardness of 1.2 μm thick ta-C coating measured by using nano-indentation was about 50 GPa. In addition, the effect of CH4 gas addition in FCVA source on optical and mechanical properties of DLC coating was investigated. It was thought that a ta-C thin film by FCVA source is appropriate as a durable coating for IR optical materials with especially high elastic modulus (e.g. silicon, germanium).

Acknowledgement

This work was supported by the Industrial Infrastructure Program for Fundamental Technologies (N0001085) which is funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

Reference

[1] J. Robertson, Diamond-like amorphous carbon, Mat. Sci. Eng. R, 37 (2002) 129-281.

[2] A. Bubenzer, B. Dischler, G. Brandt, P. Koidl, Role of hard carbon in the field of infrared coating materials, Optical Engineering 23(2) (1984), 153-156

TF-ThP-38 Influence of Temperature and Plasma Gas Chemistry on Atomic Layer Epitaxial Growth of InN on GaN Assessed with In Situ Grazing Incidence Small-Angle X-ray Scattering
Jeffrey Woodward, Samantha G. Rosenberg (American Society for Engineering Education (residing at U.S. Naval Research Laboratory)); Neeraj Nepal, Scooter Johnson (U.S. Naval Research Laboratory); Christa Wagenbach (Boston University); Alexander Kozen (American Society for Engineering Education (residing at U.S. Naval Research Laboratory)); Zachary Robinson (The College at Brockport - SUNY); David Boris, Scott Walton (U.S. Naval Research Laboratory); KarlF. Ludwig (Boston University); Charles Eddy (U.S. Naval Research Laboratory)

Plasma-assisted atomic layer epitaxy (ALEp), a variant of atomic layer deposition in which relatively higher temperatures are utilized to promote surface diffusion for epitaxial growth, offers several potential advantages over conventional growth methods such as metalorganic chemical vapor deposition and molecular beam epitaxy for the epitaxy of III-N materials and device structures. These advantages include significantly lower growth temperatures and highly controlled layer thicknesses, the latter of which is the result of the sequential pairs of self-terminating and self-limiting reactions that constitute the growth process. However, ALEp is a relatively new method for III-N growth, and significant efforts will be required to better understand the nucleation and growth kinetics. To this end, grazing incidence small-angle X-ray scattering (GISAXS) has been previously utilized for the study of surface morphology during the ALEp growth of InN1 and AlN2 on a-plane Al2O3 substrates. GISAXS is a non-destructive technique that can probe electron density fluctuations on length scales ranging from approximately 1 nm to 250 nm in an integral manner3, making it well-suited to the study of epitaxial growth. The resulting intensity distribution from a set of scattering objects is related to the form factor and structure factor, which are the Fourier transforms of functions describing the object shape and spatial arrangement, respectively.

In this work, we present data from in situ GISAXS studies performed at the Cornell High Energy Synchrotron Source during the ALEp growth of InN on bulk GaN substrates. Two growth parameters were investigated independently: temperature (180 °C, 250 °C, and 320 °C) and the ratio of N2 to Ar gas flows into the plasma source (75/200, 31/244, and 15/260). The GISAXS patterns were analyzed in order to extract information about the evolving morphologies. The data indicate that InN islands nucleated with greater density at 180 °C than at 250 °C and 320 °C, and that the island density decreased as the growth progressed for all temperatures. While the initial areal densities and island diameters at 250 °C and 320 °C were approximately identical, the density and diameter of the latter exhibited more significant decrease and increase, respectively, with time, which may indicate a temperature-dependent coarsening due to island coalescence or Ostwald ripening. The gas flow ratio had minimal effect on diameter and density, but influenced the island shape.

[1] N. Nepal et al., J. Vac. Sci. Technol. A 35,031504 (2017)

[2] V. R. Anderson et al., J. Vac. Sci. Technol. A 35, 031508 (2017)

[3] G. Renaud et al., Surf. Sci. Rep 64 (2009) 255-380

View Supplemental Document (pdf)
TF-ThP-39 Water-based Superconcentrated Electrolytes as Gate Dielectric for High-performance Solution-processed Oxide Thin Film Transistors
Eun Goo Lee, Keon-Hee Lim, Jintaek Park, Sung-Eun Lee, Junhee Lee, Changik Im, Youn Sang Kim (Seoul National University, Republic of Korea)
Thin film transistors(TFTs) using oxide-based semiconductors have attracted much attention because of their large field-effect mobility, low process temperature and printing process. However, most TFTs require high operation voltage due to the low capacitance of the gate dielectric, which causes a large amount of power consumption. In order to realize electronic devices with miniaturization, light-weighting and flexibility, it is necessary to study high-k dielectrics materials which enable low operation voltage. To solve this problem, several research groups are studying various materials capable of forming an electrical double layer that lowers the operating voltage by accumulating ultra-high charge carriers at the semiconductor / dielectric interface. Herein, we propose water-based superconcentrated electrolytes films as gate dielectric materials to take advantage of the low cost, safety, environmentally friendly and high dielectric constant of water. By embedding water-based electrolytes in a cross-linking polymer network by photo irradiation, we fabricated robust and flexible free-standing films that were showed high capacitance values of 6.72 ± 0.16 uF/cm2 at 0.5 Hz. In addition, the low electrochemical stability window of conventional aqueous electrolytes has been extended from 1.2 V to 3 V, allowing stable TFT operation at ± 1 V. The device with aqueous route indium oxide semiconductor and water-based superconcentrated electrolytes film as a dielectric layer has demonstrated an excellent electrical characteristic, including a low operating voltage (≈1 V), small subthreshold swing voltage of 110 mV/dec and the linear mobility in excess of 30.7 ± 1.6 cm 2/V s at very low drain voltage (0.1 V). These findings will open the door to the use of environmentally friendly new dielectric materials for flexible and wearable devices with low-power consumption and high mobility.
TF-ThP-40 Atomic Layered Deposition and Characterizations of HfO2 for OLED Encapsulation
Nak-Kwan Chung (Korea Research Institute of Standards and Science (KRISS), Republic of Korea); Sojung Kim, Ju-Young Yun, Jin-Tae Kim (Korea Research Institute of Standards and Science (KRISS))
Flexible OLED devices require encapsulation layers with low water vapor transmission rate and low temperature process. In this presentation, We report low-temperature preparation of hafnium oxides (HfO2) by atomic layer deposition (ALD). The hafnium oxide thin films were deposited from tetrakis ethylmethylamino hafnium (TEMAHf) as the Hf precursor, ozone (O3) as the reactant, and NH3 as the catalyst on 200 mm silicon wafers at substrate temperatures from 50 to 300°C. The properties of HfO2 films were investigated by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and X-ray deflection (XRD). It was found that HfO2 films grown at low temperature of 50°C using the combination of O3 and NH3 were very smooth and amorphous, and have low impurity contents.
TF-ThP-41 Reaction Mechanism Study on the Atomic Layer Deposition of Titanium Oxide Film using Heteroleptic Precursors
Jaemin Kim, Hye-Lee Kim, Jiyeon Gu, Seongyoon Kim, Hyejin Jung, Romel Hidayat, Yoon Myung, Won-Jun Lee (Sejong University, Korea)

Titanium oxide has been extensively studied for various applications including the high-permittivity dielectric of DRAM capacitors, photocatalysts, and optical coatings. Atomic layer deposition (ALD) technology is replacing physical vapor deposition or chemical vapor deposition to provide excellent step coverage, accurate film thickness control, and high stoichiometry film quality. The most common titanium precursors are homoleptic precursors, such as titanium tetraisopropoxide (TTIP) and tetrakis(dimethylamino)titanium (TDMAT). In general, higher deposition temperature improves the physical and electrical characteristics of the dielectric film, such as film density, stoichiometry, and purity. However, the TTIP and TDMAT decompose at over 200°C, resulting in poor step coverage and high impurity concentration. Therefore, we need titanium precursors with excellent thermal stability together with high reactivity and sufficient volatility. It was reported that mixed alkoxide-cyclopentadienyl titanium compounds show better thermal stability than titanium alkoxides, and the thermal stability is further improved by replacing C5H4(CH3) (MeCp) with C5(CH3)5 (Cp*) [1]. In the present study, we investigated the reaction mechanism of ALD of titanium oxide using heteroleptic titanium precursors with different cyclopentadienyl-type ligands. CpTi(OMe)3 and Cp*Ti(OMe)3 were selected to investigate the effect of cyclopentadienyl ligand. Ozone (O3) and water (H2O) were compared as the oxidizing agent. Saturation dose and the ALD temperature window were determined by measuring growth rates with different precursor feeding times, oxidizing agent feeding times, and the process temperatures. The surface reaction was investigated at different temperatures by using in-situ quartz crystal microbalance, in-situ Fourier transform infrared spectroscopy, and was simulated by density functional theory calculation.

[1] R. Katamreddy et al, ECS Transactions, 25 (4) 217-230 (2009) (doi : 10.1149/1.3205057)

Session Abstract Book
(444KB, May 6, 2020)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2018 Schedule