AVS2017 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, October 31, 2017 6:30 PM in Room Central Hall

Tuesday Evening

Session Abstract Book
(385KB, May 6, 2020)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule

PS-TuP-1 Particle Kinetic Simulation of Low-temperature Low-pressure HiPIMS Plasma
Noel Lauer, Natale Ianno (University of Nebraska-Lincoln)

Leveraging the advantages of high impulse magnetron sputtering (HiPIMS) requires knowledge of the temporal evolution characteristics and transport properties of the target material from the cathode to the substrate. These are difficult characteristics to measure directly. Determining the desired process parameters required for specific results by experiment, such as pulse voltage, duty cycle, pressure, magnetic field strength and profile, electrode separation, substrate biasing, and target current density, is time consuming and expensive involving multiple experiments combined with months of characterizing depositions. Also, this approach must be repeated for each target material and gas mixture used. This makes the ability to model and predict plasma properties and deposition or etching results due to external driving parameters via computer simulation attractive.

A 1d3v particle-in-cell (PIC) local density adjustment Monte-Carlo-Collision (LDA-MCC) model has been applied to model the specifics of sputtering using HiPIMS. Physics of the plasma-target interaction and diagnostics were incorporated into the model resulting in the ability to better understand the target species evolution at the cathode and subsequent transport to the substrate. The LDA-MCC was used regarding specific collision types to support transient particle volume density gradients and population inversions in the plasma associated with HiPIMS. Temporal evolution of species energy distribution functions (EDFs), volume densities, and populations at various locations within the plasma are characterized. Simulation predictions are compared with a variety of different experimental results in the literature supporting the validity of the model. These results will support future enhancements to the model to explore substrate bias effects on the process of target ion transport, tailoring energy distributions of deposited ions at the anode, investigating the utility of synchronized pulsed substrate biasing, and their effects on deposition characteristics.

PS-TuP-2 QDB: the Quantemol Database of Plasma Processes
Christian Hill, Sara Rahimi, Dan Brown, Anna Dzarasova, James Hamilton, Sajedeh Zand-Lashani (Quantemol LTD, UK); Jonathan Tennyson (University College London, UK)

QDB (https://quantemoldb.com)[1] is a database of plasma reactions and chemistries which is being expanded to include surface interactions and processes occurring at interfaces. This database aims to become a basis for computational model development for plasma-assisted processes. Such processes have become more and more popular, and increasingly allow better control and achieve high precision[2]. A way to reduce development risks in plasma chamber design and process optimisation is modelling the plasma kinetics and better understanding plasma-surface interactions. This, in turn, requires an understanding of processes using atomic-scale physics and where scaling becomes non-linear.

The QDB web software provides a platform for users to download, upload, compare and validate data, and exposes an Application Programming Interface (API) for its automated retrieval in a range of formats suitable for use in modelling software. The software was used to deposit industrial plasma chemistries developed within Powerbase project to be accessed via the API using specifically. Experimental validation was provided by industrial partners of Powerbase project. The library of chemistries and examples and datasets has been further developed with both experimental and theoretical sources by Quantemol staff and by our community of users.

In this presentation we will describe recent Powerbase developments in QDB, with a focus on the increased provision of data relating to the interaction of particles with surfaces. This has required the expansion of the QDB data model to include a characterization of the surface (substrate) composition and structure as well as the description of the behaviour of individual adsorbed species (desorption energy, diffusion energy, etc.)

It is hoped that the database and its associated online web application software and API will prove useful to the AVS community, particularly in commercial and academic research areas related to modelling plasma-enhanced processes.

[1] J. Tennyson et al., Plasma Sources Sci. Technol. 26 (2017) 055014.

[2] H. B. Profijt et al., J. Vac. Sci. Technol. A 29 (2016) 050801.

Acknowledgment: this project has received funding from the Electronic Component Systems for European Leadership Joint Undertaking under grant agreement No 662133. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Austria, Belgium, Germany, Italy, Netherlands, Norway, Slovakia, Spain, United Kingdom.

PS-TuP-3 Self-neutralized Ion Beam by Pulsed Plasma with Synchronous Afterglow Bias
Ya-Ming Chen, Ryan Sawadichai, Vincent M. Donnelly, Demetre Economou (University of Houston)

Precise control of the ion flux and ion energy distribution (IED) is crucial for advanced plasma processes that require high selectivity and minimum substrate damage. To neutralize the space charge of the ion beam extracted from a plasma, hot filaments, emitting electrons thermionically, are strategically placed on the downstream side of the extraction grid. Charge neutralization prevents spreading of the ion beam by Coulomb collisions among the ions. This work reports our observation that a self-neutralized ion beam can be obtained when the beam is extracted in the afterglow of a pulsed plasma. Specifically, a nearly monoenergetic ion beam was realized by applying a synchronous DC bias on an electrode in contact with the plasma (so-called boundary electrode) during a specified time window in the afterglow of a pulsed plasma. The ion beam flux in the pulsed plasma case was much higher than that in a continuous wave plasma under comparable conditions. Retarding Field Energy Analyzer measurements of the ion flux and IED with varying control parameters (including plasma pulsing frequency, and the time delay in the afterglow before a DC bias was applied on the boundary electrode) were performed to provide a plausible explanation of the system behavior.

Work supported by NSF.

PS-TuP-4 Gold Nanoparticle Catalyst for Plasma Nitridation of Thin Films
Takeshi Kitajima, Yuuki Kariya, Toshiki Nakano (National Defense Academy of Japan, Japan)

Gold nanoparticles show a catalytic features which depends on its size.

We tried to apply the catalytic property of the gold nanoparticles to a thin film processing under a plasma exposure. The catalysis enhanced process has a possibility of a low damage plasma processing that eliminate ion bombardments and charge trapping.

The example of the process is Si nitridation using low pressure nitrogen plasma.

Gold nanoparticles are self-assembled on a SiO2/Si(100) sample surface after the deposition of gold using an e-beam evaporator in an ultra high vacuum environment. After a 2 min. of gold deposition, hemispherical nanoparticles with the average width of 13.6 nm is formed. The number density of the nanoparticles is 6.0 e11 cm-2 and most of the surface area is covered with gold.

Inductive coupled plasma of 50 MHz excitation and 30 mTorr of nitrogen pressure is exposed to the sample with gold nanoparticles on the surface. After the plasma exposure, the surface morphology of the sample shows increased uniformity of the gold nanoparticle size. The nitridation degree of Si is examined with XPS.

Due to the N1s XPS signal ratio to the other component like Si2p, O1s, Au4f and C1s, nitrogen composition on the surface is evaluated.

The nitrogen composition of the samples with and without gold nanoparticles is 6.2 and 5.0 % after the plasma exposure of 15 s.

Even though the direct ion exposure to the SiO2 layer is inhibited by gold nanoparticles, Si sample is nitridated at similar rates with the aid of the catalytic effect of gold nanoparticles. The catalytic effect of the gold nanoparticles in this process is presumed to be the atomic transport of nitrogen atoms physisorbed on the nanoparticle surface to the underlined SiO2 interface and subsequent chemical reaction to form SiON.

The process with nanoparticle catalyst is expected to perform on various atomic layer synthesis like graphene functionalization and so on.

View Supplemental Document (pdf)
PS-TuP-5 Development of Microwave Resonant Probes for Measurement of Plasma Density
Bo-Jr Chen, Ying-Chieh Wu, Jin-Sheng Chiou, Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China)

Low temperature non-equilibrium plasma discharges are of great interests for applications ranging from micro/nano fabrication to bio/medical treatments. The density of the plasma discharge governs the basic characteristics of these plasma processes. In this study, microwave based diagnostics are developed for plasma density measurement or even process monitoring. The first device is a compact plasma absorption probe (C-PAP), a simple electric dipole like probe where the tip is formed by the center conductor of a coaxial semi-rigid cable, which is enclosed by a dielectric tube. The probe operated under the “resonant” mode, i.e., detecting the resonance frequency when the probing microwave and the nature frequency of the structure from by the probe and the surrounding plasma medium. The probe is designed by employing three dimensional electromagnetic numerical simulation analysis (HFSS, ANSYS Corp) where the plasma is treated as a dielectric with dielectric functions determined by plasma density, microwave frequency and collision frequency of electrons. The effects of plasma sheath and presheath are also investigated in the simulation analysis. The simulation results are used to calibrate the results from experimental measurements. The second resonant type probe under development is a spiral probe (SP) where the structure is a shorted microstrip transmission line. The first resonance of this structure occurs at the frequency where the transmission line becoming a half wavelength resonant structure. The spiral probe is designed for mounting on a chamber wall to minimize perturbation to the plasma discharges. Experimental and simulation results of the C-PAP, as well as the initial simulation analysis of the SP will be presented.

Acknowledgement

Work supported by the Ministry of Science and Technology, ROC (Taiwan).

PS-TuP-7 Molecular Dynamics Simulation of Ni Self-sputtering and Modeling of Interatomic Potential Functions
Nicolas Mauchamp, Michiro Isobe, Satoshi Hamaguchi (Osaka University, Japan)

Plasma etching techniques have been widely used to manufacture semiconductor devices. Typical device scales of silicon (Si)-based field effect transistors (FET) are now approaching atomic scales. For the further development of plasma etching techniques to fabricate such small devices, a good understanding of plasma-surface interactions is indispensable. For example, during a plasma etching process with energetic ion bombardment, damages may be induced and lead to the formation of non-functional regions inside the created device structures. Therefore plasma-induced damages have to be avoided as much as possible.

In the production of magnetoresistive random-access memories (MRAM), stacks of thin layers of magnetic metals and insulators are deposited and etched to form magnetic tunnel junction (MTJ) cells. In typical manufacturing processes of MTJ cells, ion milling with energetic Ar+ ions are used. However, to further miniaturize MTJ cells and increase the MRAM integration, one would need less damaging and more selective etching processes for magnetic materials and insulators. The ultimate goal of this study is to establish reactive ion etching (RIE) processes for MTJ cells and we approach this goal by examining etching characteristics of magnetic materials with various reactive ions theoretically, using molecular dynamics (MD) simulations and first-principle quantum mechanical (QM) simulations. In this study, we take nickel (Ni) as a sample magnetic material.

In classical MD simulations, the interatomic potential models must be selected from the existing models or created based on QM simulation. It has been found that, with most existing interatomic potential models for Ni, the physical sputtering yields of Ni obtained from ion beam experiments for high ion incident energies cannot be reproduced by MD simulation. Therefore, in this study, we have focused on self-sputtering of Ni and examined the dependence of the self-sputtering yield on the interatomic potential functions. Since the Ni self-sputtering yield at a high ion incident energy sensitively depend on the short-range repulsive atomic interaction, we have determined the short-range interatomic functions based on experimentally observed Ni self-sputtering yields. It has been found that the newly adjusted interatomic potential model for Ni, which is based on the embedded atom model (EAM), can reproduce experimentally obtained Ni self-sputtering yields over a wide range of ion incident energy. Using the newly created interatomic potential model, we have also determined the dependence of the Ni self-sputtering yield on the ion incident angle.

PS-TuP-8 Atomic Layer Etching of Silicon Dioxide Using Alternating C4F8 and Energetic Ar+ Plasma Beams
Sanbir Kaler, Qiaowei Lou, Vincent M. Donnelly, Demetre Economou (University of Houston)

Atomic layer etching (ALE) of SiO2 was studied by alternating exposure of a 5 nm-thick SiO2 film on Si substrate to (1) a plasma beam emanating from a c-C4F8 inductively coupled plasma (ICP), to grow a fluorocarbon (FC) film composed mainly of CF2, and (2) an energetic (130 eV) Ar+ ion beam extracted from a separate Ar ICP. In-situ X-ray photoelectron spectroscopy was used to analyze the chemical composition of the near-surface region, and to quantify the thickness of the FC and SiO2 films. A very thin (3-6 Å), near self-limiting thickness CF2-rich FC film was found to deposit on the SiO2 surface with exposure to continuous or pulsed power C4F8 plasma beams, under conditions that generated a large relative flux of CF2. Following this, a FC film of similar composition grew at ~10 times slower rate. Exposure of the thin film to the Ar+ beam led to removal of 1.9 Å SiO2. An estimated yield of 1.3 SiO2 molecules-per-Ar+ was found for a single ALE step. The rate of 1.9 Å/cycle persisted over multiple ALE cycles, but a carbon-rich residual film did build up. This film can be removed by a brief exposure to an O2-containing plasma beam. Support from Lam Research and NSF is gratefully acknowledged.

PS-TuP-9 Si, SiO2, and Si3N4 Etching Characteristics of Silicon Halide Ions (SiFx+, SiClx+, and SiBrx+)
Kazuhiro Karahashi, Tomoko Ito, Hu Li, Yu Muraki (Osaka University, Japan); Masaaki Matsukuma (Tokyo Electron Limited, Japan); Satoshi Hamaguchi (Osaka University, Japan)

Reactive ion etching (RIE) by halogen-based plasmas is widely used for etching of silicon-based materials such Si, SiO2, and Si3N4 in semiconductor manufacturing processes. As semiconductor devices continue to be miniaturized, a better understanding of basic reactions of etching and/or deposition processes on substrate surfaces has become more important than before for finer controls of device structures in the manufacturing processes. In etching processes of silicon-based materials by halogen-based plasmas, Si atoms desorbed from the surface as etching products may enter the plasma (as ions such as SiBrx+ or charge neutral radicals such as SiBrx) and return to the surface, forming an additional silicon-based material layer on the substrate as well as hard mask materials (such as SiO2, and Si3N4). Therefore it is important to clarify etching characteristics of silicon-halides ions for Si, SiO2, and Si3N4 substrates for the development of highly controllable etching processes with halogen-based plasmas. Beam experiments of such etching processes offer useful information for a better understanding of interactions of individual species contained in a plasma with the surface. In this study, etching and/or deposition reactions of Si, SiO2, and Si3N4 substrates are examined with the use of a mass-selected ion beam system, which can clarify the roles of silicon (Si+), halogen (F+, Cl+, and Br+), silicon mono-halide (SiF+, SiCl+, and SiBr+), and silicon tri-halide (SiF3+,SiCl3+, and SiBr3+) ions for etching of Si-based materials. Si+ irradiation below 1000eV deposits silicon atoms on Si, SiO2, and Si3N4 surfaces. Similarly, at sufficiently low incident energy, a silicon mono-halide ion beam also deposits silicon on the surface. The etching yield by silicon tri-halide ions is typically larger than three times the etching yield by single-halogen ions. Experimentally obtained etching yields or deposition rates for various combinations of Si-based substrates and incident silicon halides as functions of incident ion energy offer critical information for the further development of highly precise etching processes.

PS-TuP-10 The Interactions of Atmospheric Pressure Plasma Jets with Surfaces: In Situ Measurements of Local Excitations in Thin Films
Eric Gillman (Naval Research Laboratory); Brian Foley, John Tomko (University of Virginia); David Boris, Sandra Hernández (Naval Research Laboratory); Ashutosh Giri (University of Virginia); Tzvetelina Petrova, George Petrov (Naval Research Laboratory); Patrick Hopkins (University of Virginia); Scott Walton (Naval Research Laboratory)
The energy flux to a surface during plasma exposure and the associated surface heating are of long standing interest as they contribute to the physicochemical changes associated plasma-based materials processing. The unique feature of plasmas compared to other methods of materials synthesis and processing is that the energy flux is delivered and absorbed at or very near the surface over short time scales, and thus requires fast, surface-sensitive techniques to fully appreciate the dynamics of the plasma-surface interface. To achieve this, we employ pump-probe Time-Domain Thermoreflectance (TDTR) to measure the electron and phonon excitation and energy transport dynamics in thin metal films during exposure to an atmospheric pressure plasma jet. The results show the energy delivered by the plasma jet causes a localized thermal spike that is dissipated radially from the point of contact. More specifically, energy delivered via the flux of particles and photons causes the kinetic energy of the electrons within the material to increase over an area commensurate with the plasma jet radius. That energy is then dissipated through electron-electron collisions and electron-phonon interactions as the excited electrons propagate radially from the point of contact. These results, in conjunction with plasma characterization, will be discussed in an effort to develop a first order understanding of energy transfer and relevant kinetics during plasma jet–surface interactions. This work is partially supported by the Naval Research Laboratory base program.
PS-TuP-11 Modeling of a Plasma Discharge in an ICP Plasma Source for a Strip Tool
Vladimir Nagorny (Mattson Technology, Inc.); Valentin Olshansky (Kharkiv Institute of Physics and Technology, Ukraine); Shawming Ma (Mattson Technology, Inc.)

Inductively coupled plasma (ICP) sources have been used in plasma processing for more than two decades, and will be used in a foreseen future. For a photoresist (PR) strip direct plasma interaction with a wafer is undesirable and plasma is used mainly for modification of a gas composition and creating chemically active radicals for processing the wafers. To achieve high ash rates strip tools usually operate at very high flows since a PR strip rate directly relates to the flux of radicals to the surface of the wafer.

Typical fluxes in strip sources are about or exceed 5slm per head and the gas pressure varies in the range of 0.5-5 Torr. At these high gas pressures, electron energy relaxation length for high energy electrons is very short and these electrons can only be in equilibrium with the local effective electric field Eeff rather that with other electrons. That strongly affects both the distribution of energy deposition into plasma, rates of kinetic processes and the field penetration into the plasma volume. A high plasma density, high gas temperature (low gas density N) region is formed near the coil, where reduced electric field Eeff/N is high enough to sustain the ICP discharge in a wide range of process gas pressures and flows, while outside of this region Eeff/N is low and ionization is negligibly small.

In this presentation we compare results of plasma simulations in ICP strip source from the common model with drift-diffusion approximation for both electrons and ions and Maxwellian EEDF for electrons with similar simulations using a new model, where in the hot region the drift-diffusion approximation is used only for ions. As for electrons, they are considered in balance with the effective electric field, and the drift-diffusion approximation for them is used only outside the hot region.

PS-TuP-12 Characterization of Ion Lasers with Paschen Curves
Steven Flores (San Jose State University and Coherent Inc.); Christopher Fields (Coherent Inc.)
Paschen curves for argon and krypton are obtained by measuring the breakdown voltage of gases in an ion laser plasma tube. The data are taken at various pressures (voltages) with fixed electrode separations. Paschen curves can be used to determine the optimal setting of an ion plasma laser based on minimal breakdown voltage for a given plasma power. The Paschen curves vary with gas type and pressure. The trend lines can also be used to indicate the presence of impurities in the plasma.
PS-TuP-13 Plasma Simulation of Capacitively Coupled Plasma for High Aspect Ration Contact Process of Semiconductor
Hyowon Bae (Samsung Electronics Co. Ltd.); JinseokKim Kim (Pusan National University, Republic of Korea); Ming-Chieh Lin (Hanyang University, Republic of Korea); Junghwan Um, Sangbum Han, Taekyun Kang (Samsung Electronics Co. Ltd.); HaeJune Lee (Pusan National University, Republic of Korea)
High aspect ration contact process has been important process to make dynamic random access memory(DRAM) and NAND flash memory with large sotrage and high speed. Plasma etching technology has been studied for few decades, and plasma uniformity or chemistry can be controlled on these days under some condition. However, plasma etching process is still very tough to obtain the result which we wish with high frequency up to 100 MHz and high power over 10kW. Therefore, control of plasma under these condition is required to be sucesseful plasma etching result, which leads to obtain almost same etching result at all area of wafer from center to the edge. It also promises high yield and high profit in the industry. Capacitively coupled plasma(CCP) is most popular method in etching and deposition process. Plasma simulation is performed in this study to obtain the plasma distribution for unifrom etch rate at all area. Under the specific condition, uniform plasma can be shown. Ion enegry distribution, ion flux, and other physics will be explained in this presentation.
PS-TuP-14 N2, O2, and NF3 Dissociation in a Low Frequency, High Density Plasma Source
Hanyang Li, Yingliang Zhou, Vincent M. Donnelly (University of Houston); Kevin Wenzel, Johannes Chiu, Joshua Lamontagne, Xing Chen (MKS Instruments, Inc.)

Most capacitive and inductive plasmas used in deposition and etching tools for semiconductor processing operate at pressures in the sub-Torr regime (typically <200 mTorr) at relative low power densities (~0.01 – 0.1 W/cm3). Higher pressure, high power density plasma sources offer significant advantages in improved cost of ownership through increased tool throughputs, but have not received as much attention in the academic world. In this poster we present measurements of radical densities and gas dissociation fractions for various mixes of N2, O2, and NF3 feed gases with Ar at 400 sccm total flow rate in a low frequency (400 kHz), high pressure (1-10 Torr), inductively-coupled toroidal remote plasma source from MKS Instruments operating at a power density of 5 – 50 W/cm3. The radical densities and feed gas dissociation percentages in the plasma were measured by optical emission spectroscopy (OES), combined with Ar actinometry. The dissociation of O2 drops from 55% to 10% with increasing O2 percentage, while the dissociation of N2 rises from 10% to ~100% with increasing N2 percentage. For NF3, the dissociation to form F rises with increasing NF3 percentage from 60% at 1% NF3 and to 100% at 10% NF3, while about 25% of the nitrogen is present as N2, independent of NF3 percentage, with presumably some or nearly all of the remaining nitrogen present as N atoms, which are detected in optical emission. Enhanced or suppressed dissociation as a function of added Ar will compared with changes in discharge current, relative electron density and other plasma parameters.

PS-TuP-16 Improvement of Adhesion Strength between Copper and Composite Materials using Plasma Press Method
DooSan Kim, WonOh Lee, Jinwoo Park, MuKyeom Mun, KiSeok Kim, KiHyun Kim, YouJin Ji, JiSoo Oh, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)
Adhesion is one of the important issues for the wearable, attachable, and implantable devices. Especially, adhesion strength between hetero interfaces is very important. Various methods such as hot press, UV curing, thermal curing, and hot press after plasma treatment have been investigated to improve adhesion strength between different interfaces. But, for flexible devices, the adhesion strength obtained by these existing methods adhesion appear not enough and a higher adhesion force is required. In this study, in order to improve the adhesion strength between hetero interfaces, a novel plasma press method was introduced, and where, two interfaces are press bonded while plasma is on. The experiment was conducted in order to improve the adhesion strength between prepreg (Prepreg is a polymer substrate contained resin. Prepreg was also used as an insulating layer) and copper foil. These materials are used for the fabrication of multilayer flexible printed circuit board (PCB) substrate. The adhesion measurement was conducted by a peel off test. The plasma press method improved adhesion strength about 75 % compared to the conventional hot press method. The stronger bond for the plasma press is believed to be related to the formation of active carboxyl functional groups and unsaturated dangling bonds on the materials surfaces by the plasma operating during the hot press for bonding.
PS-TuP-17 Experimental and Simulation Study on Hydrogen Atom Kinetics in Low-pressure Capacitively Coupled Plasmas
Shota Nunomura, Hirotaka Katayama, Isao Yoshida (National Institute of Advanced Industrial Science and Technology (AIST), Japan)

In plasma processing, a hydrogen (H) atom is a key species (a radial) that strongly influences the gas-phase reactions and surface reasons. So, the investigation on H atom reaction kinetics is beneficial for controlling the gas-phase species and the material surface property. Here, we study the H atom generation and loss kinetics in low-pressure capacitively coupled plasmas (CCP).

We performed the H density measurement in CCP in two different configurations of electrodes: direct and remote configurations. In direct configuration, the processing material was exposed into the plasma, whereas in the remote configuration, the processing material was separated from the plasma by the metal mesh to reduce the ion bombardment and charging. The H atom density was quantitatively determined from vacuum ultra violet absorption spectroscopy (VUVAS) [1]. We found that the H atom density was strongly reduced across the mesh electrode in the remote configuration. For example, the H atom density was varied from ~1x1010 cm-3 in the discharge region to ~1x1012 cm-3 in the processing region for our mesh geometry of 0.2 mm thickness and 36% aperture ratio [2].

The fluid model simulations for CCP discharges have been performed to study the details of the H atom generation, diffusion and recombination kinetics [2]. The simulation yielded the H atom density of~1.0 x 1012 cm-3, which was in good agreement with that measured by VUVAS. The H atoms are generated mainly in the discharge region, via two processes: the electron impact dissociation (e + H2 => e + 2H) and the ion-molecule reaction (H2+ + H2 => H3+ + H). For the loss of H atoms, it is dominated by the surface recombination on the electrode. In the presentation, more details of experimental and simulation results are presented.

The authors are grateful to Dr. Y. Abe (Tokyo Tech.), Prof. N. Ezumi (U. Tsukuba), and Prof. N. Ohno (Nagoya U.) for valuable discussions. This work was supported in part by New Energy and Industrial Technology Development Organization (NEDO) and JSPS KAKENHI (Grant Number 24540546 and 15K04717)

References :

[1] S. Takashima, M. Hori, T. Goto and K. Yoneda, J. Appl. Phys. 89 (2001) 4727.

[2] S. Nunomura, H. Katayama, and I. Yoshida, Plasma Sources Sci. Technol. 26 (2017) 055018.

PS-TuP-18 Effect of Superimposed Multi-frequency on Plasma Characteristics of an Inductively Coupled Plasma Source
Kyung Chae Yang, HoSeok Lee, SooGang Kim, Dain Sung, MuKyeom Mun, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)

To achieve reduced production cost and improved productivity for nanoscale semiconductor devices, the specifications of dry etchers have become more stringent. One of the important specifications for next generation plasma systems is the extremely high uniformity from center to edge of the substrate. Especially for inductively coupled plasma (ICP) sources, as the power to the ICP sources is increased for increased plasma density, non-uniform power deposition resulting in non-uniformity of the plasma has increased further. Recently, numerous theoretical and experimental studies have been performed to improve the plasma uniformity such as separate dual frequency excitation, very high frequency mixing, etc. However, controllability of a plasma uniformity over a large area substrate still remains as one of the major challenges.

In this study, as one of the methods in controlling the plasma uniformity, superimposed multi-frequency operation on an ICP source has been investigated. On the ICP source, dual frequency power selected from 2~ 40 MHz was applied and, on the substrate, a single frequency was applied, and the effect of power ratio of multi-frequency power on the plasma characteristics including the etch uniformity was investigated for a 300 mm diameter ICP etch system. The variation of multi-frequency power at a same total power changed the electron energy distribution profiles and plasma uniformity. When SiO2 wafer was etched using Ar/CF4, an improved etch uniformity by using a multi-frequency operation of the ICP source could be observed.

PS-TuP-19 Numerical Simulation of Capacitively Coupled Radio Frequency Plasma Discharges - Effect of Hollow Cathode Structure
Hsin-Chang Chang, Chia-Yu Chen, Pei-Siou Luo, Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China)

Radio frequency capacitively coupled plasmas (CCPs) are important plasma reactors for applications in a wide range of areas such as thin film deposition and dry etching, etc. The property of the discharge, e.g., plasma density and uniformity, can be tailored or enhanced by integrating the hollow cathode effect. In this study, fluid model numerical simulation is employed to investigate the effect of slots or holes on the grounded electrode, instead of the powered electrode as in conventional hollow cathode enhanced CCPs, on the discharge characteristics. Two different CCP reactors are investigated. The first one is an indirect Ar/H2 CCP where a grounded mesh is placed between the two electrodes of a conventional CCP. The effect of the side of the holes on the plasma behavior, as well as the dependence of the ratio of ion to neutral radicals fluxes are analyzed. Simulation Results show that, as a result of the grounded mesh/grid that separating the two chambers, the flux of both ionic and reactive neutral species drop significantly, by a factor of ~1/1000 - 1/10000, from the top main chamber to the bottom drift chamber. This, in term, implies that the ion energy flux incident on the grounded bottom electrode should be minimal, and thus damage to the substrate surface due to ion bombardment can be significantly reduced. Moreover, simulation results show that hole size less than sheath thickness is needed for low ion flux toward substrate and low plasma potential in the bottom chamber. The second reactor we investigated is a CCP with a slot on the top grounded electrode while the bottom one is powered by rf voltage. Simulations are carried out for two different feed gases, Ar and CF4. Results from parametric studies and comparisons of hollow cathode effect between electropositive and electronegative discharges will be presented.

Acknowledgement

Work supported by the Ministry of Science and Technology, ROC (Taiwan).

PS-TuP-20 Photocatalytic Effects of Ag-TiO2 Nanotubes Fabricated by BCP Lithography
GeunYoung Yeom, Dain Sung, JiSoo Oh, Kyung Chae Yang, Dong Woo Kim (Sungkyunkwan University, Republic of Korea)

Titanium dioxide (TiO2) is one of the multipurpose materials used for various functional applications such as solar photocatalysts for degradation of environmental contaminants due to its unique properties. Especially,environmental decontamination by photocatalysis using TiO2 nanotubes can be more appealing than conventional chemical oxidation methods because of its low costs, nontoxicity, high surface-to-volume ratios, high surface activity, and simple recycling. In addition, noble metal nanoparticles, such as Ag nanoparticles, doped on the surface of TiO2 nanotubes can increase their photocatalytic activities and can be easily fabricated by a photochemical deposition method under UV light irradiation. Among various methods to fabricate of TiO2 nanotubes, TiO2 nanotubes fabricated by using block copolymer (BCP) lithography and reactive ion etching (RIE) can form uniformly aligned nanoscale morphorlogies.

In this study, using the TiO2 nanotubes fabricated with BCP lithography, TiO2 atomic layer deposition (ALD), and RIE and Ag nanoparticles precipitated on TiO2 nanotubes by a photochemical method, the photocatalytic effect of TiO2 nanotubes with/without Ag nanoparticles was investigated by measuring the concentration change of a methylene blue solution. The photocatalytic effect of TiO2 nanotubes was higher than flat TiO2 film because of the increased TiO2 surface area. Also, Ag nanoparticles attached on the TiO2 nanotubes further improved the photocatalytic effect by facilitating electron–hole separation and promoting interfacial electron transfer process through the Ag nanoparticles. However, when the amount of Ag nanoparticles on TiO2 nanotubes are too much, possibly due to the decreased UV penetration to TiO2 by the increased surface area covered with Ag nanoparticles, the photocatalytic effect was decreased. By precipitating 7~9 nm size Ag nanoparticles for 20 min on 40~50 nm diameter/50~60 nm height TiO2 nanotubes, the highest photocatalytic effect could be obtained.

View Supplemental Document (pdf)
PS-TuP-21 Prediction of Particle Generation by Machine Learning in Plasma Etching Tools
Yoshito Kamaji (Hitachi High-Technologies Corp., Japan); Masahiro Sumiya, Akira Kagoshima (Hitachi High-Technologies Corp.); Masaru Izawa (Hitachi High-Technologies Corp., Japan)

Prognostics techniques, which predict the remaining useful life of the components and monitor the health conditions of process equipment by utilizing data-sets that acquired from the sensors of equipment components, are gaining attention to solve the cost issues in semiconductor manufacturing. [1].

In this study, development of a prognostics system to predict the health conditions that deviated over time in microwave plasma etching tools was investigated. The selection of the right analytical engines for getting effective results has been a major issue that impedes deployment of prognostics techniques. Several machine learning algorithms including PCA-based T-squared /square prediction error (SPE) [2], self-organizing map (SOM) - minimum quantization error (MQE) [3], auto-associative kernel regression (AAKR) [4] were evaluated to predict particle generation for the etching of metal layers such as work function metals (WFMs). The benchmarking results indicated that AAKR and PCA-T squared most effectively captured particle generation and showed better monitoring performance compared with other algorithms. In addition, process parameters that affect the particle generation were clarified by calculating contribution values for each process parameter. Details will be discussed in this presentation.

[1] Jay Lee et al., “Recent advances and trends in predictive manufacturing systems in big data environment,” Manufacturing Letters Volume 1, Issue 1, October 2013, Pages 38–41

[2] Kevin P. Murphy, “Machine Learning, A Probabilistic Perspective," The MIT Press, 2012)

[3] T. Kohonen, “The Self-Organizing Map,” Proceeding of the IEEE, vol. 78. pp. 1464–1480, 1990.

[4] P. Guo and N. Bai, “Wind turbine gearbox condition monitoring with AAKR and moving window statistic methods,” Energies, vol. 4, no. 11, pp. 2077–2093, 2011.

PS-TuP-22 Investigation of Wear-Resistance Enhancement of Plasma-functionalized Carbon-nanotube Composite Polyurethane Film
Daisuke Ogawa, Hideo Uchida, Keiji Nakamura (Chubu University, Japan)

We have investigated possible causes of mechanical enhancement for a composite polyurethane (PU) film with plasma-treated carbon nanotubes (CNTs). Wear-resistance is a main topic here in terms of mechanical property. Our preliminary result showed that wear-resistance was improved by means of making a CNT-composite PU film, in particular, in the case when the CNTs treated with the plasma, which is made with gas mixture of nitrogen and carbon dioxide, was utilized. In order to enhance such a mechanical property of PU, we considered the following two possibilities; the wear-resistance increased due to 1) a uniform spatial distribution of CNTs in a PU film and/or 2) the fact that plasma-treated CNTs gives additional wear-resistance from chemical aspects, possibly from functional groups on CNTs. In fact, it is known that isocyanate (NCO) group is sometimes utilized in order to enhance their mechanical property of PU. First, we observed our CNT composite PU films with optical microscopy. The observation showed that the spatial distribution of CNTs in a composite PU film was not really enhanced due to plasma treatment by comparing with other CNT composite PU film. The circumstance indicates that the plasma-treated CNTs enhances the wear-resistance of PU film possibly from chemical aspect. However, we know that this is still in the range of our speculation. Therefore, we have recently focused on making a direct identification of NCO groups on the plasma-treated CNTs. In this presentation, we will show our recent results whether or not our plasma-treated CNTs actually have NCO groups on CNTs, in particular more NCO groups on the CNTs treated with nitrogen and carbon dioxides than those with other plasma treatment. Here, we utilized acridine yellow (AY, C15H15N3), which is a good indicator of NCO groups by using photoluminescence (PL). AY solution (2mg/L AY in tetrahydrofuran, THF, C4H8O) was added the solvent dispersing CNTs to attach AY and NCO groups. And then, the PL emission was observed. Our result showed that PL emission was observed from the samples relating with the CNTs exposed to the plasma made with nitrogen/carbon dioxide and only with carbon dioxide plasma. In fact, we did not expect to observe PL emission from the CNTs treated with carbon dioxide plasma because the lack of nitrogen species in this gas composition. However, the residue of air gas might have provided the species, and showing the emission. In this presentation, we will show more recent results and analysis of identification of NCO groups on CNTs.

PS-TuP-23 Dynamics of Power-Modulated Chlorine Plasmas
Tianyu Ma, Tyler List, Priyanka Arora, Yingliang Zhou, Vincent M. Donnelly (University of Houston); Sangki Nam (Samsung Electronics, Republic of Korea)

Studies of power-modulated chlorine inductively-coupled plasmas will be presented. Power at 13.56 MHz applied to the plasma was modulated between a high power and low power state. This allows optical emission and actinometry with trace added Ar to be carried out during the entire period, unlike traditional pulsed plasmas where no power is input during the “low power” state. Optical emission spectra were recorded over the 200 to 900 nm region where emissions from Cl, Cl2, SiCl, SiCl2, SiCl3 and Ar occur. The intensity of Cl-to-Ar emission, proportional to Cl number density, was strongly modulated, allowing Cl recombination coefficients to be obtained from a simple model. Langmuir probe measurements were also recorded. The plasma was found to operate in one of two modes. When power was dropped from high to low, ether 1) the plasma density and optical emission intensities quickly dropped to a lower level that then remained constant, or 2) the density dropped to a very low level, emission ceased for a rather long time until re-ignition occurred. Whether the plasma operates in mode 1 or 2 is sensitive to settings on the matching network and is also a function of pressure and modulation frequency.

Work supported by Samsung Electronics.

PS-TuP-25 Investigation of Electromagnetic Effects in Very High Frequency Linear Plasma Source
Xiaopu Li, Kallol Bera, Jason Kenney, Shahid Rauf, Kenneth Collins (Applied Materials, Inc.)

Very high frequency (VHF) capacitively coupled plasmas (CCP) are widely used for materials processing in the semiconductor industry. The spatial distribution of plasma in CCP discharges can be affected by electromagnetic effects. In this study, a VHF linear plasma source is considered, which consists of parallel metal bars enclosed within ceramic insulator tubes. The linear source is immersed inside the discharge volume, which is enclosed by a grounded metal box except for the input and output ports. A full three dimensional electromagnetic plasma model is used to understand the interactions between the external radio-frequency source and the plasma. The fluid plasma model computes species densities and fluxes, as well as the plasma current density. Drift-diffusion approximation is used for species fluxes in the continuity equations for all charged species. Neutral species concentrations are determined by solving the continuity equations with diffusion coefficients computed using the Lennard-Jones potentials. The electromagnetic phenomena are fully described by the Maxwell equations with the plasma current density updated from the fluid model. The RF source in the model excites a transverse electromagnetic (TEM) wave through the input ports. The CPML absorbing boundary condition is applied at the termination port to avoid electromagnetic wave reflections back into the plasma. The finite difference time domain (FDTD) technique is used to discretize the Maxwell equations, which are solved explicitly in time. Ar discharge is studied based on the reaction mechanism similar to the previous study [1]. The plasma density profile is found to be dependent on excitation frequency, pressure and power. The spatial distribution of plasma with in-phase and out-of-phase excitation from the ports is investigated as well.

1. S. Rauf and M. J. Kushner, J. Appl. Phys. 82, 2805 (1997)

PS-TuP-26 Modeling of High-Density Magnetically Enhanced Inductive Plasmas Generated by Symmetrical Solenoid Coils
Bocong Zheng, Maheshwar Shrestha, QiHua Fan (Michigan State University)

A magnetically enhanced inductive plasma source (MEIPS) was proposed to address the limitations of conventional inductively coupled plasma (ICP) sources. The MEIPS combined two solenoid induction coils wound in opposite directions and the grounded ends were positioned on the dielectric window. The capacitive coupling between the plasma and the coils was subsequently minimized. The induction coils created a confined magnetic field within the plasma region, leading to a significant increase in the energy-coupling efficiency. To understand the plasma characteristics under different conditions, the MEIPS discharges were modeled and compared with a conventional planar coil ICP discharge. It was found that the MEIPS could generate a much higher plasma density than the conventional ICP discharge under the same input power. By inserting a ferrite core into the coils, the MEIPS discharge could ignite at ~2 MHz and the plasma density dramatically increased with the increase in the frequency until ~6 MHz. Then the plasma density decreased gradually as the frequency further increased due to the dramatically reduced permeability at high frequencies. Comparing with an air core, the ferrite core led to more stable magnetic field distribution with a higher maximal flux denisty B.

PS-TuP-27 Plasma Modeling in the OpenFOAM Framework
Abhishek Verma, Venkattraman Ayyaswamy (University of California Merced)
As emphasized in the 2012 Roadmap for low temperature plasmas (LTP), scientific computing has emerged as an essential tool for the investigation and prediction of the fundamental physical and chemical processes associated with these systems. While several in-house and commercial codes exist, with each having its own advantages and disadvantages, a common framework that can be commonly developed by researchers from all over the world will likely accelerate the impact of computational studies on advances in low-temperature plasma physics and chemistry. In this regard, we present a finite volume computational toolbox to perform high-fidelity simulations of LTP systems. This framework, primarily based on the OpenFOAM solver suite, allows us to enhance our understanding of multiscale plasma phenomenon by performing massively parallel, three-dimensional simulations on unstructured meshes using well-established high performance computing tools that are widely used in the computational fluid dynamics community. In this talk, we will present preliminary results obtained using the OpenFOAM-based solver suite with benchmark three-dimensional simulations of microplasma devices including both dielectric and plasma regions. We will also discuss the future outlook for the solver suite.
PS-TuP-29 The Role of Charge Exchange Collisions in Selective Etching of Si
Sergey Voronin, Peter Biolsi (TEL Technology Center, America, LLC); Alok Ranjan (Tokyo Electron Miyagi Limited, Japan)

Continuous shrinkage of transistors in sub-7nm technological nodes requires new integration and etching challenges. As the direct scaling of the FinFET to 5nm is extremely difficult, the use of a 3D integration scheme is considered to be a prospective way toward the next technological node. In addition to generic process requirements (high anisotropy, minimum CD loading and high selectivity to the mask films), conductor etching in such structures is more complicated due to different positions of the stopping layers. Features with deep etch targets may face underetching, while features with short targeted depths may have severe notching due to excessive positive charge of the stopping layer. Fast neutral beam processing in ion-assisted reactive etching is one of the ways for the induced charge mitigation and to eliminate this unwanted effect.

We report a study of Si etching in an HBr/Ar surface-wave Radial Line Slot Antenna plasma. The ability of RLSATM plasma etchers to operate in a very wide range of the pressures allows the etch process well above 100mT. Process operation in this high pressure range and large Ar+-Ar charge-exchange cross sections (~3x1015 cm-2 at 100’s eV) result in numerous charge exchange collisions in the plasma sheath, leading to a significant presence of the fast neutrals.

A simple model for the ion and neutral energy distributions in an HBr/Ar plasma discharge has shown a significant input of the neutral ion beam. With the exception of the results for the hydrogen and bromine ions, the calculated fast neutral fluxes for Ar can be up to 70% of the total ion flux with the energies comparable to the ions. Reducing operating pressures below 50mT with increase in the plasma density provides etching mostly caused by ions. This correlates well with the experimental etch profiles suffered from notching and bowing.

PS-TuP-30 Development of an Aluminum Nitriding Process using Electrostatic Plasma Mass Spectroscopy and Energy Analysis and In Vacuuo Auger Electron Spectroscopy
Christopher Muratore (m-Nanotech Ltd., University of Dayton); Andy Korenyi-Both (Tribologix Inc.)
Aluminum nitride is a hard, wear resistant surface. Modifying an aluminum alloy surface by diffusion based plasma treatment is one potential approach for increasing the wear resistance of aluminum components, however, a review of the literature and discussion with industrial nitriding operations reveal that there are a number of challenges associated with this task. The primary challenge is initial removal of the native oxide formed spontaneously on aluminum surfaces, and inhibiting its formation during the process, even at high vacuum. Using a novel plasma nitriding process,thick aluminum nitride layer (>1 micron) was produced on 6061-T6 alloy samples. Key steps included removal of the oxide layer and identification of pulse characteristics fpr the applied power to the cathode. These steps were accomplished using unique in situ process diagnostics including Auger electron spectroscopy to identify the time and conditions required to clean the aluiminum surface and plasma mass spectrometry and energy analysis to identify the optimum ratio of atomic nitrogen atoms compared to molecular nitrogen ions, as identified in prior works for nitriding of stainless steel. It is observed that maximizing the number of atomic nitrogen ions yields higher nitriding rates for aluminum.
PS-TuP-31 A New Transformer Model for Solenoidal ICP Discharge Expandable to Low Density Plasma
Jang-Jae Lee, Si-Jun Kim, Kwang-Ki Kim, Young-Seok Lee, Shin-Jae You (Chungnam National University, Republic of Korea)
A transformer model is well known as model for the analysis of the physics of inductively coupled plasma source. However, this model can be applied only at high density region where the skin depth is much smaller than the chamber radius. In this study, a transformer model which can be applied even under long skin depth conditions was presented. The expression of fields in the plasma source is derived in a one-dimensional geometry and the circuit components of transformer model as a function of electron density can be obtained by the spatial integrating the fields. Comparing these results with those obtained from Maxwell’s equation, we confirmed that the results agree with each other in various conditions.
PS-TuP-32 Development of a Novel VI Sensor for RF Power Measurement
Kwang-Ki Kim, Shin-Jae You (Chungnam National University, Republic of Korea)
VI sensor plays an important role in dynamic impedance matching in RF power systems for various plasma fabrication processes. Therefore, many kinds of VI sensors have been developed for several years. However, these sensors have an issue of VI coupling that a transformer coil only for detecting current also detects voltage which is for detecting of a voltage sensor. In order to reduce the voltage in the coil, we used a novel double shielding walls that can shield the voltage from the coil effectively. We confirmed a voltage and current ratio in the coil using an electro-magnetic simulation by changing the walls' height, position and gap between the walls then analyzed to find VI coupling minimization condition. We developed highly well VI decoupled sensor that has a phase difference about 1.32 degrees between the voltage and current compare to the other sensor that has the phase difference above 20 degrees in a condition of ideally phase difference zero.
PS-TuP-33 Transmission Line Model of Cutoff Probe
Si-Jun Kim, Jang-Jae Lee, Kwang-Ki Kim, Young-Seok Lee (Chungnam National University, Republic of Korea); D.W. Kim (Korea Institute of Machinery and Materials, Republic of Korea); J.H. Kim (Korea Institute of Standards and Science, Republic of Korea); Shin-Jae You (Chungnam National University, Republic of Korea)
Transmission line(TL) model was applied to cutoff probe(CP), which is a microwave resonance probe for measuring electron density. Application of preceding model(circuit model) for analysis of characteristics of CP was limited

to low electron density regime(< 1010 cm-3). In order to supplement the circuit model we introduced TL model and compared results between TL model and three-dimensional full-wave electromagnetic(3-D FWEM) simulation. Simulation

results of TL model are in good agreement with that of the a 3-D FWEM simulation in both low and high electron density regime. Furthermore the results of TL model are the same with that of circuit model in the low electron density

regime. Therefore by using TL model we can expand the applicable range of cutoff probe up to high electron density regime.

PS-TuP-34 Fault Detection in Radio-frequency Plasma Processing using Voltage-current (VI) Probes and Statistical Models
Thomas Gilmore (Impedans Ltd, Ireland)

Radio-frequency (RF) voltage-current (VI) probes, mounted between the matching network and plasma reactor, can accurately detect plasma impedance changes at the fundamental and harmonic frequencies. The plasma impedance is very sensitive to any electrical, mechanical and/or geometrical changes that may occur in the reactor. The nonlinear nature of the plasma impedance generates a rich harmonic spectrum, accurate measurement of which can be used to precisely detect faults that affect the electrical, geometrical or mechanical integrity of the plasma process. Examples of such faults include; electrical integrity of the RF connections, misplaced substrates, broken focus rings, leaking valves, plasma confinement issues and parasitic plasma formation.

In this poster, we summarize the results of fault detection studies across several RF processes and across a number of industry sectors. It was found that for certain gross faults, such as plasma not igniting, the fundamental voltage, current, and phase angle are sufficient for detection. However, many fault types are more subtle. For example, a wafer misplaced by less than a millimeter off-centre does not show any significant deviation in the fundamental parameters. Higher order harmonics, on the other hand, can show significant variation. It was found that the exact harmonic properties (voltage, current or phase) that are sensitive to the fault are also process and reactor dependent.

The statistical approach used relies on a preliminary baseline measurement of a faultless process across the full spectrum of parameters detected by the RF VI probe. The analysis runs continuously and a Z-score technique is applied to all parameters. A deviation from the “normal” is considered significant if it exceeds six standard deviations (Six Sigma). Data from live semiconductor wafer production will be presented showing fault detection due to wafer misplacement. Charts of various other faults and their significance in terms of sigma-number will also be presented for other processes. This approach can be used to implement alarms on plasma processing tools when faults are detected, preventing costly product scrappage events.

PS-TuP-35 Finding Adequate Global Model of Non-Maxwellian Distribution based on PIC Simulation
Young-Seok Lee, Si-Jun Kim, Jang-Jae Lee, Shin-Jae You (Chungnam National University, Republic of Korea)

The electron energy probability function (EEPF) is usually assumed to be Maxwell distribution for 0-D global model. Meanwhile, it is well-known that the form of EEPF of Ar plasma changes from bi-Maxwellian to Druyvesteyn as the gas pressure increases. Thus, to apply the 0-D global model of Maxwellian distribution to the non-Maxwellian plasma, we weighted up the relative contribution of two distinct electrons with different temperatures. The contributions of cold/hot electrons to the power and particle balance were investigated by comparing the result of the global model considering all combinations of electron temperatures with that of 1-D particle-in-cell Monte Carlo collision (PIC-MCC) simulation and the result of investigations was analyzed physically. Furthermore, predictions consistent with PIC-MCC simulation for variations of the contribution of cold/hot electrons at different pressures and driving currents are presented.

Session Abstract Book
(385KB, May 6, 2020)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule