AVS2016 Session PS-ThP: Plasma Science and Technology Division Poster Session

Thursday, November 10, 2016 6:00 PM in Room Hall D

Thursday Evening

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule

PS-ThP-1 RF Assisted Reactive High Power Impulse Magnetron Sputtering Deposition of Titanium Nitride Thin Film for Plasmonic Applications
Ru-Jing Sun (National Tsing Hua University, Taiwan, Republic of China); Bo-Huei Liao, Chien-Nan Hsiao (Instrument Technology Research Center, Taiwan, Republic of China); Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China)
Titanium nitride (TiN) films have attracted a great deal of interests recently for applications in plasmonic devices in near-infrared wavelengths range. Here we report the optical properties of the TiN films prepared by using radio frequency power assisted reactive high power impulse magnetron sputtering (HIPMS) deposition technique. The TiN films were grown on either Si or glass substrates for a thickness up to 400 nm. A spectroscopic ellipsometer was used to measure the refraction index (n) and extinction coefficient ( k ) of the films. Measurements results show that, depending on the deposition conditions, the optical properties of the TiN films vary over a wide range, from those of typical TiN film as deposited by conventional magnetron sputtering, to those of metallic copper or gold. Sheet resistance of the film was also measured by hall-effect method and strong correction between the optical and the electrical properties are demonstrated. Results from XRD analysis also reveal that the lowest film resistivity occurs for TiNx film with a stoichiometric composition, as expected. These results demonstrate that one can fine tune the optical property of TiN film by simply controlling the deposition conditions to meet the requirements of optical devices.
PS-ThP-2 Transport Line for Laser Multicharged Ion Implantation and Deposition System
MdHaider Shaim, MdMahmudur Rahman, Oguzhan Balki (Old Dominion University); Andranik Sarkissian (Plasmionic Technologies); Michael Korwin-Pawlowski (University Du Quebec en outaouais, Canada); Hani Elsayed-Ali (Old Dominion University)
Components of a transport line for a laser multicharged ion (MCI) source are constructed and tested. These components are an einzel lens for ion focusing, parallel deflection plates for multicharged ion selection, electrostatic cylindrical ion energy analyzers for MCI energy-to-charge E/z selection, three-grid energy analyzer, and Faraday cup for time-of-flight ion detection . Aluminum and carbon MCIs are generated by a nanosecond Nd:YAG laser (wavelength 1064 nm, pulse width 7.4 ns) ablation of a target in a vacuum chamber. Time-of-flight and a three-grid retarding ion energy analyzers are used to determine the velocity and the charge state of the MCIs. A three-electrode cylindrical einzel lens is used to focus the MCIs. At a distance of 30 cm from the center of the focusing electrode of the einzel lens, Al1+ and Al2+ has a minimum beam diameter of ~1.5 mm, while for Al3+ and Al4+ the minimum beam diameter is ~2.5 mm. Simulation of the ion trajectories was done using SIMION 8.1. A high voltage pulse applied to a set of two parallel deflecting plates is used for the pickup of ions with different charge states according to their time-of-flight. The electrostatic ion energy analyzer combined with the time-of-flight measurement are used to resolve both E/z and z and obtain the energy distribution of each charge. The Overall energy resolution of the electrostatic ion energy analyzer for carbon MCI is 7 – 9%.
PS-ThP-5 Optimizing Process Parameters for Plasma Assisted Atomic Layer Epitaxy (PA-ALE) of Nitrides
Virginia Anderson, David Boris, Neeraj Nepal, Scooter Johnson, Alexander Kozen (Naval Research Laboratory); Z Robinson (Boston University); Sandra Hernandez, Charles Eddy, Jr., Scott Walton (Naval Research Laboratory)

III-Nitride (III-N) binary compounds (InN GaN and AlN) are attractive semiconductor materials for a wide range of device applications. Plasma assisted atomic layer epitaxy (PA-ALE) is a low temperature conformal layer-by-layer deposition technique that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma. In this work we employ optical emission spectroscopy and charged particle collectors to characterize an inductively coupled plasma on a commercial atomic layer epitaxy tool. In particular, we assess the total ion flux reaching the substrate surface and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions of argon and nitrogen. The objective is to diagnose optimum conditions for the production of N* radicals in the plasma source, believed to be most useful for the growth of III-N films, and correlate these changes in N* production with changes in film characteristics.

PS-ThP-6 Plasma Treatment of Plated Surfaces
Christopher Fields, Melisa Buie (Coherent Inc)

Plasma treatment is used increasingly in vacuum applications to ensure removal of surface level micro-contamination which may prevent or inhibit bonding or joining applications. [1-4] We have characterized via a designed experiment the changes to the surface after plasma treatment. Stainless steel 304L was chosen as the flange material for the study. One surface was milled to provide at a minimum surface finish of 16 m in. A thorough characterization of the material was performed prior to plating including surface roughness measurements using an optical profilometer. Pre-plating results show a surface with ‘race track’ grooves around the sealing surface. The optical profilometer measured a surface roughness of 12 m in and a total roughness profile height of 105 m in. The flanges were plated with a decorative bright nickel, nickel sulfamate and a combination of the two films. The plating thickness varied from 0.5 mil to 1.5 mil. Samples were treated with either an ultrasonic aqueous clean, a plasma clean or a combination of the two. The flanges were then measured for ionic contamination via ultra-pure water extraction ion chromatography. Elemental analysis was performed using x-ray photoelectron spectroscopy (XPS). The data reveals an effective plasma treatment which removes all traces of carbon and minimizes Na. Additionally, plasma parameters were optimized in order to minimize surface roughening during processing.

References:

[1] D. Korzec, J. Rapp, D. Theirich and J. Engemann, J. Vac. Sci. Technol. A 12, 369 (1994).

[2] P. Ponath, A. B. Posadas, R. C. Hatch and A. A. Demkov, J. Vac. Sci. Technol. B 31, 031201 (2013).

[3] R. A. DiFelice, “An Investigation of Plasma Pretreatments and Plasma Polymerized Thin Films for Titanium / Polymide Adhesion, Ph.D. Thesis, Virgnia Polytechnic Institute and State University, 2001.

[4] T. S. Williams, “Surface Modification by Atmospheric Pressure Plasma for Improved Bonding”, Ph.D. Thesis, University of California at Los Angeles, 2013.

PS-ThP-7 Customizing Arrays of Microplasmas for Controlling Properties of Electromagnetic Waves
Chenhui Qu, Peng Tian, Mark Kushner (University of Michigan)

Arrays of microplasmas are being investigated to manipulate electromagnetic waves. Such applications require control of the electromagnetic properties of individual plasma cells. Motivated by the tradeoff between fast response and high plasma density, the optimum operating range for the plasma includes pressures from 10s to 100s Torr, and so the scale of each cell shrinks to 100s μm due to pd scaling. Controlling cross-talk is a major challenge in design of microplasma arrays since plasma cells are not separated by physical barriers as in conventional plasma-display-panels. This lack of physical barriers is necessary in order to reduce the loss or scattering of incident electromagnetic waves.

Small 2-dimensional arrays of microplasmas are being computationally investigated with the goals of maximizing electron densities while minimizing cross-talk between plasma cells. The microplasma arrays are sustained in 10s to 100s Torr of rare gas mixtures excited by dc-unipolar pulses. The small arrays contain 4 to 9 plasma cells.

The base case geometry contains four plasma cells operating in 60 Torr Ar powered by 300 V peak value unipolar pulses having a 10 MHz pulse repetition frequency and 30% duty cycle. The width of the array is 320 μm and the length is 830 μm, conditions which produce maximum electron densities up to 2 × 1014 cm-3 with a cathode fall region forming near the exposed cathodes. Beam ionization by secondary electrons contributes ≈65% of the total ionization during the pulse on period. Cross-talk between plasma cells does not significantly affect the performance of individual plasma cells even though they are not physically isolated. The predicted plasma properties are used to evaluate the potential for controlling electromagnetic wave properties when propagating through large arrays of such microplasmas. The electromagnetic simulator HFSS was used to investigate microwave propagation through the microplasma array, including control of the magnitude and polarization of the electric field.

Work was supported by Air Force Office of Scientific Research, Department of Energy Office of Fusion Energy Science and the National Science Foundation.

PS-ThP-8 Optical Emission Diagnostics of a Non-equilibrium Helium Plasma Jet at 1 Atm in Ambient Air
Tam Nguyen, Eduardo Hernández, Demetre Economou, Vincent Donnelly (University of Houston)

Non-thermal atmospheric pressure plasmas are of interest for their potential use in surface treatment and biomedical applications. Even though considerable progress has been made, less is known about the species generated in close proximity to a surface. A novel approach using optical emission spectroscopy (OES) has been developed to probe emissions close to the surface. The plasma jet splays along the flat face of a hemispherical quartz prism. Emission was observed through the prism as a function of the angle of incidence. In this manner, emission integrated from a line-of-sight across the jet was obtained. Emission was also recorded as a function of angle through a MgF2 window coupled to a VUV spectrometer. At normal incidence (0o), light was detected mainly from the discharge within the plasma source, which consisted of a quartz tube surrounded by two electrodes, powered by a 200 kHz AC source. He emission at 706 nm peaked twice per cycle, near the positive and negative voltage maxima. Conversely, VUV-UV-visible emissions from H, O, N, OH, NO and N2+ impurities contained in the He feed gas within the discharge were hardly modulated. The only exception was N2(C-B) emission, which peaked strongly near the maximum positive voltage and weakly near the maximum negative voltage. When the angle of incidence was reduced to just below the critical angle (43.4o) to observe the region within ~1 mm of the surface, all emissions were strongly modulated and peaked near (lead or lag) the maximum positive voltage; no emission was detected at the maximum negative voltage. All of these observations are consistent with excitation of O, N, OH, H, and NO being predominantly due to dissociative excitation of precursors O2, N2, H2O and NO2 resulting from collisions with He metastables (He*). Similarly, N2+ emission was attributed to He* Penning ionization and formation of excited N2+. Only He 706 nm and N2(C-B) emissions were due to electron impact excitation. Inside the discharge tube, He* is long lived because it is quenched very slowly by diffusion to the walls or by collisions with He or electrons, hence He*-induced emission are only weakly modulated. Near the surface, air diffuses into the He and leads to rapid He* quenching and hence a strong modulation of the emissions.

PS-ThP-9 Etching Capability of Silicon Nitride using a Low Electron Temperature Plasma Source
Hiroyuki Miyazoe, Ashish Jagtiani, Sebastian Engelmann (IBM T.J. Watson Research Center); David Boris, Sandra Hernández, Evgeniya Lock, Scott Walton (Naval Research Laboratory); Eric Joseph (IBM T.J. Watson Research Center)

The ability to achieve atomic layer precision is among the ultimate goals envisioned for plasma etching technology. Electron beam generated plasma (Large Area Plasma Processing System: LAPPS) as developed in the Naval Research Laboratory (NRL) is one such candidate to realize these process goals [1]. We have been demonstrating process feasibility for single layer graphitic carbon films such as graphene and carbon nanotubes (CNTs), which have unique properties, making them well-suited for studying the ability to process with atomic layer precision and assess impact of plasma damage. [2] In this work, we explore SiN etching using pulsed, electron beam generated plasmas produced in Ar/SF6 and Ar/O2 mixtures. The impact of process parameters such as relative gas concentration, duty factor, and substrate bias on the etch rates and selectivity (vs. carbon films, silicon and silicon oxide) has been investigated. The results indicate the ability to achieve etch rates lower than 50 nm/min, depending on material, suggesting the potential for surface engineering with monolayer precision. We also investigated tight pitch patterning of SiN films using LAPPS. Etching of »10nm-thick SiN at 60 nm pitch with minimized line roughness was demonstrated. Taken together, this work suggests electron beam generated plasmas are a promising route toward atomic layer processing. This work is partially supported by the Naval Research Laboratory base program.

Reference: [1] S.G. Walton, et al., ECS J. Solid State Sci. Technol. 4 (2015) N5033. [2] A. Jagtiani et al., J. Vac. Sci. Technol A 34 (2016) 01B103.

PS-ThP-10 Deep GaAs Etching with V-shaped Trench Profile Using Inductively Coupled Plasma Technology
Tomoya Sugahara (SAMCO Inc., Japan); Shogo Uehara (SAMCO Inc.); Michihiro Hiramoto (SAMCO Inc., Japan)

There is an ongoing demand for device miniaturization, and at the same time, improvement of the die yields per wafer. For die separation with brittle wafer materials such as Gallium Arsenide (GaAs), Indium Phosphide (InP), and Gallium Nitride (GaN), a diamond tipped tool is widely used for the scribe and break process. Using a diamond tipped tool provides deep V-shaped scribe lines with a sharpened point profile on the bottom. However, this scribing method may cause die chipping, and also limits the minimum width of the streets between die. Wet etching can fabricate a V-shaped scribe line with a sharpened point profile on the bottom, but its depth is limited to just a few hundred nanometers [1], and is not deep enough to break substrates that are a few hundred micrometers thick. As an alternative to scribing with the diamond tipped tool or wet etching, plasma scribing technology offers a chipping-free process solution with deep scribe lines and narrow street widths for higher die yields. Additionally, batch processing of multiple wafers by the plasma scribing technology enables higher throughput than other techniques. However, conventional GaAs deep plasma etching processes yield scribe lines with a rounded bottom profile, and the rounded bottom makes the die separation irreproducible. In order to make die separation more precise and reliable for plasma scribing, the trench profile of scribe lines needs to be a V-shape with a sharp bottom profile.

In this research, an Inductively Coupled Plasma (ICP) etching technology suitable for GaAs die separation was developed to achieve a V-shaped trench with sharp bottom profile. Scribing and breaking of GaAs depend on the orientation of the scribe lines on the GaAs surface. The GaAs wafers used for this experiment had a <100> crystal orientation, and were 350 μm thick. A 10 μm wide photoresist mask and chlorine chemistry were used to etch 50 μm deep trenches with a sharp point profile at the bottom. The GaAs etch rate was 9.6 µm/min and the etch selectivity of GaAs over photoresist was approximately 10:1. In the investigation of the relationship between the etch depth and the trench profile, it was found that the bottom flat of the trench shrinks in size from the initial trench width, as the trench depth increases.

The V-shape with the sharp point profile on the trench bottom enables reproducible plasma scribing of GaAs wafers, minimal street widths between die and minimal damage to the die upon die separation.

References

[1] A. Turala, A. Jaouad, D.P. Masson, S. Fafard, R.Arès, and V. Aimez. International Journal of Photoenergy 2013, 583867 (2013)

PS-ThP-12 Ion Beam Etch Process Optimization for the Patterning of High Density STTRAM Pillars
Vincent Ip (Veeco); Shuogang Huang (Lam Research Corporation); Santino Carnevale (Veeco); Ivan Berry (Lam Research Corporation); Katrina Rook (Veeco); Thorsten Lill (Lam Research Corporation); Ajit Paranjpe, Frank Cerio (Veeco)

STTRAM device patterning has been demonstrated via either: reactive ion etch followed by ion beam etch (IBE); or by a full IBE strategy.[1],[2] The patterning of high density STTRAM structures requires detailed process optimization, due to multiple requirements including: high aspect ratio; avoidance of shorting across the MTJ barrier; and minimization of damage to the active layers of the structure. We discuss methods to address each of these challenges under a full IBE patterning scheme. For large CD structures, with wide pitch, a single-step IBE recipe may be sufficient, but for small CD or tight pitch features, a multi-step IBE process appears to be necessary.

The primary consideration during the first etch step(s) is to effectively open up the pattern, while minimizing re-deposition across the tunnel junction. We present experimental IBE etch rates for typical STTRAM stack and hard mask materials versus: incidence angle; ion species (Neon, Argon, Xenon); and ion energy. We utilize these etch rates combined with 2-D etch simulations, to present guidelines for etching of STTRAM pillars with mask height ~ 150 nm, and pitch varying from 80 – 800 nm. The simulations capture etched feature shapes and spatial distribution of redeposited material. We show that re-deposition can be minimized by: etch angle further from normal incidence; using lower mass ion; and/or higher ion energy.

The primary consideration during the final etch step(s) is to remove any sidewall damaged layer resulting from the earlier step(s), while minimizing further damage.[3] We present 3-D etch calculations and SRIM simulations to provide guidelines for the damage cleanup steps, in terms of optimal etch angle, and optimal ion species and energy.[4] We show that sidewall damage cleanup is maximized by etch angle further from normal, while further damage generation is minimized primarily by lower ion energy. In particular, we present minimum ion energies required to maintain specified damaged layer thicknesses from <1nm upwards.

We simulate optimized combinations of multiple etch steps, and demonstrate effective patterning of pillars of 80 nm pitch, resulting in feature sidewalls with ~ 85o sidewall angle and no metal re-deposition across the tunnel junction.

[1] M. Gajek et al, Applied Physics Letters 100, 2012.

[2] Shigeki Takahashi et al, IEEE Transactions on Magnetics 42 (10), October 2006.

[3] Yuichi Ohsawa et al, International Magnetics Conference 2016, to be published IEEE Transactions on Magnetics.

[4] J. Ziegler, 1998, available at http://www.srim.org/
PS-ThP-13 Down Stream Plasma Ash Process Impact on Metal Electrode Oxidation and Nitridation for 10nm and Below Logic Technology
Bob Elliston, Grigoriy Kishko, Vijay Vaniapura, Vladimir Nagorny, Shawming Ma (Mattson Technology)
For the semiconductor process flow with advanced nodes below 10nm, it is desired to remove the photoresist on top of metal electrodes, such as TiN, with minimum oxidation and nitridation. In general, the resist is typically removed by plasma strip followed by wet clean or by plasma strip or wet strip only. To minimize and control the oxidation, it is desired to use a non-oxygen chemistry. In this paper, detailed surface characterization methods including surface sheet resistance (Rs), optical ellipsometry, X-ray Photoelectron Spectroscopy (XPS), Transmission Electron Microscopy (TEM) and Electron Energy Loss Spectroscopy (EELS) are used to evaluate the surface oxidation and nitridation under various hardware and process conditions. It is identified that the grounded Faraday shield is critical to reducing tube erosion from the Inductive Coupled Plasma (ICP) coil’s strong electric field which may an contribute to less wafer surface oxidation. Current modeling suggests the tube’s erosion from high electric fields inside the source may contribute small amounts of oxygen that induces more wafer oxidation on wafers, even when a non-oxygen chemistry is used. In addition, extended que times can affect the post oxidation measurements . Possible hardware and process solution are also discussed to minimize metal oxidation and nitridation.
PS-ThP-14 Atomic Layer Etching of Conventional and 2D Materials
Mike Cooke, Andy Goodyear, Ravi Sundaram, Brian Halsall (Oxford Instruments Plasma Technology, UK)

How close can a real plasma etcher come to delivering ideal atomic layer etch (ALE)? We describe hardware studies into the limits of using conventional plasma etch tools to perform cyclical self-limiting etch processes, especially the chemical stability of the chamber and the electrical reproducibility of short RF plasmas. Self-limiting behaviour is not in itself evidence of single layer etching: silicon ALE etch rates are shown to depend strongly on chamber history.

The promise of ALE to etch single atomic layers is tested by using an optimised etch tool to etch 2D materials, grown by CVD. Raman spectroscopy of MoS2 few-layer films before and after a cyclical etch process are presented.

PS-ThP-15 Rapid In Situ H Plasma Carbon and Oxygen Cleaning of In0.53Ga0.47As(001) and Si0.5Ge0.5(110)
Steven Wolf, Mary Edmonds (University of California at San Diego); Ximan Jiang (PIE Scientific); Ravi Droopad (Texas State University); Naomi Yoshida, Lin Dong (Applied Materials); Rohit Galatage, Shariq Siddiqui, Bhagawan Sahu (GLOBALFOUNDRIES); Andrew Kummel (University of California at San Diego); Mahmut Kavrik (University of California San Diego)

InGaAs and SiGe have demonstrated good potential to replace silicon in MOS devices due to their intrinsically high mobilities. In order to implement these compound semiconductors into devices, the surfaces of these materials must be atomically flat and void of surface defects, which can be accomplished by performing one of several surface cleaning techniques available: RCA standard cleaning procedure consisting of various treatments with NH4OH, H2O2, HF, HCl, and H2O to remove the native oxide and organic and ionic contaminants, UV/ozone treatments, and cleaning via thermal gas crackers and plasma sources. However, wet processing can leave organic residues and a thin layer of native oxide on the surface due to exposure to ambient conditions, while the vacuum/dry processing steps can take over 30 minutes to perform. A technique that overcomes these issues involves the use of in-situ hydrogen plasma to remove carbon and oxygen present on the surface. In this study, X-ray photoelectron spectroscopy (XPS) was employed to characterize the chemical composition of the In0.53Ga0.47As(001) and Si0.5Ge0.5(110) surfaces before and after plasma exposures. To optimize the conditions for cleaning with a plasma source, the effect of plasma power and pressure on carbon cleaning and oxygen contamination were determined. In addition, the effect of pure H2 versus an H2/Ar mixture was investigated in relation to the removal of carbon and oxygen contaminants. Using the described approach, a two second H plasma clean removed all carbon and oxygen from the In0.53Ga0.47As(001) surface while minimally etching the surface, and nearly all carbon and some oxygen were removed on the Si0.5Ge0.5(110) surface. The SiGe surface is more difficult to clean because it is more sensitive to oxygen than the InGaAs surface and can easily be explained by the high heat of formation of SiO2. In effect, the high heat of formation for SiO2 poses two challenges for cleaning of the surface: (1) trace O2 or H2O in the plasma gas are likely to form more Si-O bonds and (2) breaking Si-O bonds by atomic H will be unlikely. By incorporating the in-situ downstream plasma source and optimized experimental conditions, the efficacy of ion-less plasma treatment for the rapid cleaning of the In0.53Ga0.47As(001) and Si0.5Ge0.5(110) surfaces has been demonstrated.

PS-ThP-17 Backside Via Last Process Technologies for Wafer Level 3D Stacking.
Toshiyuki Sakuishi, Takahide Murayama, Yasuhiro Morikawa (ULVAC Inc., Japan)
The number of devices connected to the internet has been increasing year by year. Not only Smartphone and Tablet PC, Devices for IoT (Internet of Things) are expected to increase rapidly. Data traffic is increasing exponentially and the data centers are required to be high speed data processing and low power consumption. Required performances are high bandwidth/bandwidth density, low latency, increased data processing speed, expanded data storage. These are desired to achieve without increasing cost. For multifunctionality and downsizing, heterogeneous integration is essential technology. To achieve these requirements, the backside via-last process is very important. We have been developing Si deep RIE technique and process integration that are optimized for via-last TSV formation. Our etching process is mainly non-Bosch etching using SF6/O2 based gas. To realize high rate etching, high density F radical is necessary. In addition, the Non-Bosch etching performs etching and sidewall protection simultaneously, so proportion of F and O radical is important. Key technology to achieve a uniform proportion of F and O radical is multi-ICP (Inductively Coupled Plasma) source. Our etching source newly developed shows excellent performance in Non-Bosch etching, but also adapts to Bosch etching. Our Non-Bosch etching is better to taper angle controllability. Tapered shape and smooth sidewall improve the deposition coverage and reduce the TSV formation cost. New Si deep RIE technique using multi-ICP source opens the way to new 3D packaging technology.
PS-ThP-18 New Deep SiO2 Etching Process Issues for Silicon Photonics Device Fabrications
Keizo Kinoshita (PETRA, Japan); Masataka Noguchi (PETRA); Tsuyoshi Horikawa (AIST); Takahiro Nakamura, Tohru Mogami (PETRA)

Silicon Photonics (SiPh) is a promising technology for wide-band and large-capacity data communications. The SiPh chip needs to embed laser diodes (LD’s) for optical communication. In our approach, LD’s have to be mounted on a pedestal structure [1, 2]. To fabricate the pedestal structure, 5 µm deep SiO2 hole should be patterned by a deep etching process.

A 300 mm CCP etch system was applied to etch the SiO2 layer. Photoresist (PR) patterns with 4.6 µm thick were developed by a KrF lithography system. Ar diluted fluorocarbon gas chemistries were adopted. Three etch-selectivity conditions for the SiO2 against the PR were examined. Significant etch residues over the etched surface were observed under a relatively high etch selectivity condition. In contrast, the sample etched under a relatively low etch selectivity condition showed no etch residues, but showed conspicuous striations at the sidewalls of SiO2 which can cause optical coupling loss of the SiPh devices. These deep SiO2 etching issues as a function of the etch selectivity can be discussed qualitatively.

Under the high etch selectivity condition, deposited fluorocarbon polymer and etch by-products over the chamber walls increase generally. They can re-deposit over the wafer surface during etching, and cause the etch residue. The amount of the deposition (DP) can be expressed as follows,

DP = f(t),

where t is total etching time, and f is a function which reflect the etch selectivity. Higher the etch selectivity is, larger the DP is. The etch residue issue will happen when the DP value exceeds some threshold. This is a common issue in fabricating both CMOS and SiPh chips.

On the other hand, the etching under the lower etching selectivity condition brings about larger damages on PR polymer by bond breaking and desorption of functional groups, and causes the large line edge roughness (LER) of the PR pattern which will be transferred to the striation during etching. The LER can be expressed as follows,

LER = g(t),

where g is a function related to the etch selectivity reflecting protective ability for the PR. Higher the etch selectivity is, smaller the LER is at the same t. This issue is apparent for the SiPh chip fabrication.

Therefore, it is important to minimize DP·LER products within some threshold in the deep SiO2 etching process developments for the SiPh devices. And, we succeeded in the deep SiO2 etching by the DP·LER products minimization approach.

This work was supported by NEDO. The authors thank staff members of SCR station in AIST for their technical support. [1] T. Shimizu, et al., Photon. Res., 2, A19 (2014). [2] K. Kinoshita, et al., AVS 62th Int. Symp., PS-ThP8, p. 51, (2015).

PS-ThP-20 Modeling of Remote Plasma Sources using CFD-ACE+
Abhra Roy, Prasoon Shukla, Kunal Jain, Ananth Bhoj (ESI US R&D Inc.)

Remote plasma processing typically involves plasma generation in a main chamber and the substrate activation (or etching or deposition) by plasma activated species outside the plasma zone to reduce damage to the substrate. The remote substrate location also enables control of plasma properties to a sufficient degree to preferentially result in a desired flux of species to the substrate. The CFD-ACE+ modeling platform can be used for simulations of remote plasma sources to address gas flow, heat transfer, plasma physics and chemistry and electromagnetics in a coupled fashion. In this paper, we report on computational modeling studies of plasmas sustained in Ar/N2 and Ar/NF3 mixtures using global and 2D simulations. The Kinetic Module of CFD-ACE+ is used to generate the electron energy distribution function (EEDF) and compute electron impact reaction rates and transport coefficients. The fast global models help isolate the major reaction pathways and help reduce the number of reaction steps of the volumetric mechanism for multidimensional simulations. At these pressures of interest, the back diffusion of injected Ar into the plasma zone results in activation of reaction pathways that result in feedstock dissociation. The effect of mixture ratios, power, frequency and pressure on the resulting plasma, ion and radical densities in the main reactor chamber, and fluxes of plasma species at the remote substrate are discussed.

PS-ThP-21 Controllable Deposition of TiO2 Films by Atmospheric Pressure Dielectric Barrier Discharge: Gas Composition Effect and Mechanism
Qianqian Chen, Alp Ozkan, Stéphanie Collette, Jérémy Mertens, Joffrey Baneton, Marie-Paule Delplancke, François Reniers (Université Libre de Bruxelles, Belgium)

In this work, various controlled morphologies of TiO2 films are synthesized by atmospheric pressure argon/oxygen dielectric barrier discharge (DBD) using titanium tetraisopropoxide (TTIP) as precursor. The gas compositions for the formation of TiO2 films are optimized by adjusting the flow rate of plasmagen gas from 0 to 9.5 L/min, while keeping the flow rate of TTIP and O2 as constant. The morphology of the deposited films is observed by Scanning Electron Microscopy (SEM). It is found that the morphologies change from columnar film to dense film as the flow rate of plasmagen gas increases. The chemical structures and properties of the deposited films are characterized by means of Infrared Reflection-Absorption Spectroscopy (IRRAS), Raman spectroscopy and X-ray Photoelectron Spectroscopy (XPS). The results show that the films are amorphous with similar chemical compositions. The plasma properties are investigated using Optical Emission Spectroscopy (OES) and Mass Spectrometry (MS). The intensity of Ar* species increase as the flow rate of plasmagen gas increases, which indicates that the concentration of Ar* species have a strong effect on the morphology of the TiO2 films. The MS measurements show that H+, O+, H2O+, CO2+, C3H6O+ and a weak signal of TiO2+ are produced in the plasma. The mechanisms of the TiO2 films formation from TTIP by DBD are discussed.

PS-ThP-22 Synthesis of Acrylate Coatings with Tunable and Permanent Wettability by Atmospheric Plasma
Bernard Nisol, Jonathan Guesquière, Delphine Merche, Nicolas Vandencasteele, François Reniers (Université Libre de Bruxelles, Belgium)

Plasma polymerization is an eco-friendly route (low temperature, solvent-free process) used to prepare functional thin films with desired properties (e.g aesthetics coatings, protective coatings against corrosion and abrasion, coatings for adhesion and barrier properties…) on any kinds of substrates. In this study, transparent acrylate coatings were synthesized by PECVD under atmospheric pressure, from the simultaneous injection of acrylic acid (AA) and propargyl methacrylate (propaMA) into a dynamic DBD, using argon as the carrier gas.

The influence of the ratio AA/propaMA and the power on the physical and chemical properties of the coatings deposited onto various substrates (Si wafers, polyolefins films and thick gold films) was highlighted by WCA (wettability), mechanical profilometry (thickness), XPS and FTIR-IRRAS (chemical composition).

The addition of propaMA to AA allows reaching high deposition rates (up to 11 nm/sec) thanks to its highly unsaturated (and reactive) nature.

WCA results evidenced the possibility to tune the surface wettability from highly hydrophobic (140° for the pure propaMA coatings) to highly hydrophilic (15° for the pure AA coatings). Moreover, the coatings were very stable over time and were seen not to suffer from hydrophobic recovery. Indeed, the WCA remained constant for at least a period of 2 months.

The highly hydrophobic character of pure propaMA coatings is due to an important texturization of its surface, as revealed by scanning electron micrographs (SEM); the high reactivity of propaMA is thought to induce gas-phase polymerization, and to the subsequent formation of globular features. Also, in the explored conditions, smooth coatings could only be obtained for high AA proportions in the gas mixture.

XPS and FTIR results revealed the presence of alcohol and ketones groups in addition to the carboxylic/esters functions of the monomer precursors. The COOR groups related to the presence of carboxylic acid increase with the proportion of AA in the AA/propaMa mixture while the C-O and C=O groups decrease.

Thanks to excellent ageing properties, such thin and transparent coatings represent a promising alternative to polymer surface plasma functionalization.

We would like to thank the Belgian Federal Government IAP- (interuniversity program) “Physical Chemistry of Plasma Surface Interactions” P7/34, and the Walloon Region.

PS-ThP-23 A High-flux Low-energy Hydrogen Ion Beam Using an end-Hall Ion Source
Jacqueline van Veldhoven, Edwin te Sligte, Jochem Janssen (TNO Technical Sciences, Netherlands); Irene Ament (Carl Zeiss SMT GmbH, Germany)

Most ion sources that produce high-flux hydrogen ion beams, particularly gridded sources, perform best in the high energy range (keV) [1]. Alternatively, some plasma sources produce very-low-energy ions (<< 10 eV). However, in an intermediate energy range of 10-200 eV, to our knowledge, there are no hydrogen ion sources that produce high-flux beams.

Despite this absence, we believe such a source would be of interest to a variety of fields, such as surface passivation and treatment [1-3], solar winds [4], fusion reactors [5], and EUV sources [6].

A typical ion source that is known for its high fluxes at the relevant ion energy range is the end-Hall ion source. This source produces good results with argon and oxygen [7], but no report of it being used with hydrogen was found. This contribution shows the use of an end-Hall ion source with hydrogen. Both the flux and the ion energy distribution of the ion beam were measured using a Retarding Field Energy Analyzer (RFEA) for different settings of the source and at different positions.

At the lowest discharge voltage and highest discharge current where the signal is still stable (100 V, 4A), a maximum hydrogen ion flux of 8.2 · 1019 ions/m2/s was measured at an energy range of ~0-130 eV and at a distance of 11 cm.

References

[1] N. Oudini, G.J.M. Hagelaar, J.-P. Boeuf and L. Garrrigues, Journal of Applied Physics 109 (2011) 073310.

[1] J.C. Muller, Y. Ababou, A. Barhdadi, E. Courcelle, S. Unamuno, D. Salles and P. Siffert, Solar cells 17 (1986) 201-231.

[2] K. Srikanth, J. Shenal and S. Ashok, Nuclear Instruments and Methods in Physics Research B 88 (1994) 401-406.

[3] A. Slaoui, A. Barhdadi, J.C. Muller and P. Siffert, Applied Physics A 39(1986), 159-162.

[4] F.W. Meyer, P.R. Harris, C.N. Taylor, H.M. Meyer III, A.F. Barghouty, J.H. Adams, Nuclear Instruments and Methods in Physics Research B 269 (2011) 1316-1320.

[5] T. Ito, Y. Yamauchi, T. Hino, T. Shibayama, Y. Nobuta, K. Ezato, S. Suzuki, M. Akiba, Journal of Nuclear Materials 417 (2011) 1147-1149.

[6] A.N. Bykanov, N. Bowering, I.V. Fomenkov, D.C. Brandt, A.I. Ershov, O. Khodykin, W.N. Partlo, US 7,671,649 B2 (2010).

[7] L. Mahoney, D. Burtner and D. Siegfried, Society of Vacuum Coaters 49th Annual Technical Conference Proceedings (2006) 706.

PS-ThP-24 A System of Radical Probes for Plasma Characterization
Dren Qerimi (University of Illinois at Urbana-Champaign); Ivan Shchelkanov (University of Illinois at Urbana Champaign); David Ruzic (University of Illinois at Urbana-Champaign)

The current state-of-the-art methods to identify presence of radical species in vacuum chambers are optical methods, which suffer from the lack of spatial resolution and require expensive optical equipment. Center for Plasma Material Interactions (CPMI) at the University of Illinois aims to develop a probe array (catalytic probe) to measure concentration of reactive gas spices in low temperature plasma with high temporal and spatial resolution. Radical probes as plasma diagnostic device will be used to determine plasma parameters in helicon plasma source. The basic principle and advantage of a probe array is that is has several sensitive elements capable to distinguish between different gas species. The sensitive element has a size of several millimetres with a nanostructured chemically sensitive to specific reactive gas species surface. The nanostructured surface is positioned right on top of a thermocouple [1]. The nanostructured probe surface provides efficient recombination of active species with subsequent energy release as a heat. The system consists of additional two probes, first to obtain the overall heat flux on probe array, and the second is a reference probe with surface chemically active to all gases. The thermocouple detects the heat released after recombination and gives immediate voltage signal output. The array of several probes is capable to distinguish between different gas species with sub centimeter spatial resolution. The probes give accurate results in a broad range of reactive species concentrations from about 1019 to 1022 m− 3.

Reference:

[1] M Mozetič, M Drobnič, A Pregelj, K Zupan, Determination of density of hydrogen atoms in the ground state, Vacuum, Volume 47, Issues 6–8, June–August 1996, Pages 943-945, ISSN 0042-207X

PS-ThP-25 Using Optical Emission and Broadband Absorption Spectroscopy to Elucidate Energy Partitioning Trends Within Inductively Coupled Plasma Systems
Angela Hanna, Joshua Blechle, Ellen Fisher (Colorado State University)

A fundamental understanding of interactions between plasma species is essential to characterizing complex plasma chemistry phenomena. By utilizing various optical spectroscopy techniques to probe internal energetics within nitrogen and oxygen-containing plasmas, we have elucidated energy partitioning information for both ground and excited state plasma species. Our approach focused initially on characterizing internal energies of N2 within a simple homonuclear diatomic system (N2). We then broadened our study to include the slightly more complex N2O system and also a mixed gas plasma system (N2 and O2). In these systems, both N2 and NO molecules can be studied. Optical emission spectroscopy (OES) and broadband absorption spectroscopy (BAS) techniques were employed to study internal energies of both excited and ground state species in all of these systems. Characteristic plasma energies (e.g. electron temperatures (Te) and small molecule vibrational and rotational temperatures [Tvand Tr, respectively] were determined for species formed within each system. In most cases, Tv is significantly higher than Tr for molecules such as N2 and NO, with Tv ranging from ~2000 K to >3000K and Tr having values between ~300 K and 1000 K. In general, vibrational and rotational temperatures show a strong positive correlation with applied rf power and often display a negative correlation with system pressure for the precursors studied. Deviations from these trends have also been investigated. Additional data from more complex systems used to modify a range of materials such as catalyst particles will also be presented. Collectively, these data enable insight into the properties of various plasma systems and the role energy partitioning plays in the assessment of plasma chemistry.

PS-ThP-27 Modeling of Electron Kinetics in rf Discharges at Low and High Pressures
Ananth Bhoj, ZAndy Xiong (ESI US R&D Inc.); Vladimir Kolobov (CFD Research Corporation)
Low temperature plasmas (LTPs) are used for numerous applications over a wide range of gas pressures from a few mTorr up to ambient pressures (760 Torr). Simulations of LTPs involve the multiphysics coupling of gas flow, heat transfer, plasma physics, volumetric and surface chemistry and electromagnetics. For CAE models like CFD-ACE+, to accurately capture the plasma physics, a kinetic treatment is often important for different plasma species. In particular, while the mean free paths and the energy relaxation lengths for ions and neutrals are similar, they differ vastly for electrons. This memory effect for elastic collisions of electrons with neutrals has a profound influence to electron kinetics. The kinetic treatment for electrons assumes significance because the electron energy distribution function (EEDF) in highly non-Maxwellian in most cases, and the electron-induced reaction rates are sensitive to the tail of the EEDF. At very low pressures, global models that assume diffusion dominated electron transport with conservation of total energy are useful to quickly estimate plasma characteristics and trends in RF systems. In this approach, the EEDF depends on the total electron energy and energy dependence is obtained by spatially averaging the Fokker-Planck (FP) equation for the EEDF. At intermediate pressures, the tail of the EEDF becomes local, whereas the rest of the EEDF remains non-local. In this regime, the isotropic part of the EEDF depends both on energy and space, so nonlocal effects must be explicitly accounted for by solving the FP equation. Such EEDF nonlocality behavior has been shown to extend up to pL = 10 Torr-cm. Beyond this range, fluid models with non-Maxwellian EEDFs based on lookup tables are computationally more efficient and capture the physics well. We show examples of simulations in these various regimes using CFD-ACE+.
PS-ThP-29 Nanoparticle Synthesis via a High Voltage Pulsed DC Atmospheric-Pressure Microplasma Jet
Steven Doyle, Gabe Xu (University of Alabama in Huntsville)

A high voltage pulsed DC microplasma jet operating at atmospheric pressure has been developed for nanoparticle synthesis applications. The configuration consists of a high voltage center pin electrode inserted in a quartz tube, running between 5kv – 10kv. The plasma forming gas, being argon, is fed into the tube along with a small concentration of methane, which serves as the working gas. Flow rates and methane ratios vary between 2 – 5 slm and 0.01/Ar – 0.05/Ar, respectively. Nanoparticles of interest include both metal oxides and carbon. Metal oxide nanoparticle formation comes from the oxidation of the center pin electrode, while carbon nanoparticles are a product of the decomposition of the methane working gas. The impact of the center pin electrode chemical composition on the resulting nanoparticles has been tested. The main properties of interest for the nanoparticles are the size, shape, and population density. Copper, tungsten, and mechanical pencil graphite were chosen as the three most desirable electrodes based on the literature. Finally, the flow rates and voltages of the system have been adjusted to further demonstrate their impact on the nanoparticles formed. The nanoparticles are imaged with an SEM and the chemical compositions are confirmed via EDS analysis. The system generates a “cold” microplasma with a gas temperature of just over 300 K. This property makes the microplasma system design of great interest for applications where low temperature limits exist.

PS-ThP-30 Extending the Volume and Processing Area of Atmospheric Pressure Plasma Jets
Eric Gillman, David Boris, Michael Helle, Sandra Hernández, Tzvetelina Petrova, George Petrov, Scott Walton (Naval Research Laboratory)

Atmospheric pressure plasmas have certain advantage in materials synthesis and processing that are not available with other approaches including low-pressure plasmas. In particular, the breadth of reactions afforded by non-equilibrium, low temperature plasmas is unique; plasmas produced in full density air allows one to extend the application space to systems and materials that are not vacuum compatible. Non-equilibrium, atmospheric pressure plasma jet devices are well-suited for such applications given their relatively simple design and modest power requirements. However, their size tends to limit their utility to small scale processes and treatments. In this work, we describe approaches to extend the volume of non-equilibrium, atmospheric pressure plasma jets and thus, surface area that can be treated. In particular, we consider geometric and gas flow solutions to increase volume without increasing power requirements. We use high-speed cameras, optical emission spectroscopy (OES), current and voltage measurements, and simulations to characterize the results and understand the potential for and/or limitations to scale-up. This work is supported by the Naval Research Laboratory base program.

PS-ThP-31 Characteristics of Cutoff Probe for Magnetized Plasma Measurement
Jung-Hyung Kim (Korea Research Institute of Standards and Science, Republic of Korea); Kwang-Ho You (Korea Research Institute of Standards and Science); Shingjae You (Chungnam National University); Hyo-Chang Lee, Dae-Jin Seong (Korea Research Institute of Standards and Science)

We investigate the transmission spectrum of a cutoff probe in magnetized plasma using a circuit simulation and experiment. The circuit simulation was calculated using a permittivity tensor that can be changed depending on the direction of the magnetic field and electric field which is generated by radiating antenna of the cutoff probe instead of a permittivity of non-magnetized plasma. The experiment was performed at various probe directions and magnetic field strength while maintaining the plasma density constant. When the magnetic field and electric field are same directions, the measured cutoff frequency can be used for the plasma frequency (fpe) same as non-magnetized plasma results. However, electric field is perpendicular with the magnetic field, the measured cutoff frequency can be considered for upper hybrid frequency (fuh = (fpe2 + fce2 )1/2). All results are consistent with a circuit simulation results.

PS-ThP-32 Development of the Gas Cherenkov Detector (GCD-3) and the Unique Engineering Challenges Associated with the ASME Boiler and Pressure Vessel Code
Frank Lopez, Hans Herrmann, John Oertel, Steve Batha, YongHo Kim, Jeff Griego, Tom Archuleta, Robert Aragonez, Valerie Fatherley, Carlton Young, Albert Hsu, Robert Malone (Los Alamos National Laboratory)

The development of the LANL Gas Cherenkov Detector (GCD-3) fielded at the Laboratory for Laser Energetics OMEGA laser facility generated significant engineering challenges. The GCD-3 is a third-generation gas Cherenkov diagnostic that provides important information about Inertial Confinement Fusion (ICF) implosions including fusion burn and imploded capsule conditions. The GCD-3 utilizes CO2, SF6 and C2F6 gases separately pressurized at 400psig to provide the scintillation media. Unique experimental objectives contrasted with the requirements of the ASME Boiler and Pressure Vessel (B&PV) Code resulted in diverging pressure vessel concepts throughout the design process. In addition, a facility-specific weight limitation and stringent fluorinated gas leak rate requirements added to the complexity of the diagnostic’s development. Specifically, achieving a vessel/detector weight limit of 100 pounds in conjunction with a maximum fluorinated gas leak rate of 1X10E-9 STD cc/second (helium) at 1 atmosphere differential pressure proved to be challenging. As such, Conflat knife-edge crushed-metal seals were an essential aspect of the design. The LANL Pressure Safety Program in compliance with DOE Order 10CFR851 invokes the ASME Boiler and Pressure Vessel Code, Section VIII, Division I and II for the design of all pressure vessels. As the B&PV Code can be characterized as a “one size fits all” standard, the tendency toward conservatism is typical. Vessel wall and flange thicknesses are routinely substantial, although inconsequential to refineries, are atypical of pressurized ICF diagnostics. A detailed summary of these design challenges correlated with the resulting experimental results bring emphasis to the successful collaborative mix of engineering and physics expertise within the ICF diagnostic development arena.

PS-ThP-33 Magnetic Tunnel Junctions Etch and Encapsulation Process Optimization for High-Density STT-MRAM Applications
Laurent Souriau, Dunja Radisic, Shreya Kundu, Vasile Paraschiv (imec, Belgium); Fumiko Yamashita, Kiwamu Fujimoto, Shigeru Tahara, Kiyoshi Maeda (TEL, Japan); Woojin Kim, Siddharth Rao, Gabriele Donadio, Davide Crotti, Diana Tsvetanova, Johan Swerts, Sofie Mertens, Tsann Lin, Sebastien Couet, Daniele Piumi, GouriSankar Kar, Arnaud Furnemont (imec, Belgium)

STT-MRAM is being extensively developed as a potential candidate to replace conventional memories due to its unique characteristics: fast speed, non-volatility and excellent endurance. One of the major challenge for high-volume, high density STT-MRAM fabrication remains the patterning of the Magnetic Tunnel Junction (MTJ). Practically, the metals used in the MTJ stack hardly form any volatile compounds with conventional etching plasmas often resulting in strong re-deposition of metals on the sidewall (SW) of the junction and hence shorting of the device. Moreover, MTJs manifest strong sensitivity to any form of chemical or physical damage caused by plasma processing leading to degradation of the electrical/magnetic performance of the fabricated memory cell. The focus of this work is to develop a Reactive Ion Etching based patterning process in combination with SW engineering by oxidation and in-situ encapsulation to mitigate those issues. We demonstrated patterning of MTJ down to 30nm, in pitch down to 200nm with excellent electrical yield and very limited performance degradation.

The MTJ patterning process has been developed on a TACTRAS platform from Tokyo Electron Limited using a dual-frequency capacitive coupled plasma reactor specially customized for STT-MRAM application as well as a microwave plasma CVD reactor to deposit Si3N4. A TiN metallic hard mask has been used to pattern the CoPt or CoNi based MTJs with perpendicular magnetic anisotropy. The patterning consisted of a 2-step sputtering based etch process. The first etch was carried out with Ar to define the MTJ pillar while the second etch used Kr to efficiently remove metallic residues from the MTJ SWs. Noble gases were used in order to avoid chemical damage. The patterning was followed by an in-situ mild oxidation of the MTJ SWs to passivate metallic residues as well as the peripheral damaged zone caused by ion bombardment. Finally, a Si3N4 encapsulation was applied in-situ to protect the MTJ from air exposure.

We demonstrated isolated pillar size down to 30nm as well as 45nm pillars at a 200nm pitch. Tight RP distribution (σ~4%) was achieved demonstrating that pillar size was uniform across the wafer surface and the MTJ short were efficiently circumvented. Limited degradation (<10%) of the TMR as function of pillar size was achieved. A yield of more than 97% was achieved in Mbit array with less than 0.5% cells exhibiting electrically shorted behavior and the 2.5% remainder of the cell being not switchable. The optimization of the Si3N4 encapsulation process to improve the thermal stability of the device post processing will be discussed at the conference.

PS-ThP-34 Effect of High DC Bias on Silicon Oxide Coatings Deposited by Plasma Enhanced Chemical Vapor Deposition
Norihiro Jiko (Kobe Steel, Ltd., Japan); Akira Narai (Kobe Steel, Ltd.); Nobuyuki Kawakami, Tadao Okimoto (Kobe Steel, Ltd., Japan)

It is well known that electronic devices such as organic light emitting diode and electronic paper are degenerated by water vapor and oxygen that penetrate from air. Therefore polymer substrates, which are expected to replace glass substrates for their flexibility and light weight but are permeable to water vapor and oxygen, are required to be coated with gas-barrier layers such as Silicon oxide (SiOx). In addition to the high barrier property, it is necessary for practical use to suppress curl of the polymer substrates caused by the coating with high stress.

Plasma enhanced chemical vapor deposition (PECVD) is one of the industrial-scale coating techniques of the SiOx layers with high barrier performance (see, for example, ref. 1). It has been reported that for the deposition of the SiOx layers using PECVD, ion bombardment plays an important role to densify the layers and improve the barrier performance, through experiments in which SiOx layers are deposited with DC bias applied at the substrate [2]. However curl of the polymer substrates tends to be enhanced by a high compressive stress of the SiOx layers caused by a strong ion bombardment and is required to be controlled.

The objective of this study is to explore the possibility of compatible high density and low stress through experiments in which SiOx layers were deposited with a wide range of DC bias. SiOx layers were deposited using a PECVD system. The substrate silicon wafers (4 inch in diameter) were mounted on a 400kHz RF applied electrode (6 inch in diameter). The power was varied to yield DC bias from 0 to -1.2kV. 13.56MHz RF was inductively coupled into the chamber with a planar-coiled RF antenna through a quartz window with an applied power kept at 300W. Hexamethyldisiloxane and oxygen were introduced into the chamber at a pressure of 4Pa.

The density of the SiOx layers examined with a X-ray reflectometer increased with enhancing DC bias from 0 to -360V, and it remained almost constant for higher DC bias. On the other hand, compressive stress measured with a profilometer steeply increased from DC bias of 0 to -200V and then reduced gradually to the highest DC bias investigated, which is in contrast to the above-mentioned constant density for high DC bias. These results suggest that the high bias enables a desirable polymer substrate with a SiOx barrier layer. Detailed analysis of the SiOx layers to comprehend these bias dependent phenomena will be reported at the presentation.

[1] T. Okimoto et al., The 21th International Display Workshops Proceedings, 1448-1451 (2014)

[2] L. Martinu et al., Journal of Vacuum Science & Technology A 12, 1360-1364 (1994)

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule