AVS2016 Session PS-WeA: Atomic Layer Etching and Low Damage Processing

Wednesday, November 9, 2016 2:20 PM in Room 104C

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
2:20 PM PS-WeA-1 Plasma-Based Removal of Native Oxide Layers on Si and SiGe Substrates While Minimizing Surface Residues
Dominik Metzler, Chen Li (University of Maryland, College Park); C.Steven Lai, Eric A. Hudson (Lam Research Corporation); Gottlieb S. Oehrlein (University of Maryland, College Park)

The evaluation of a plasma-based native oxide surface cleaning process for Si and SiGe substrates is described. Objectives include removal of the native oxide while minimizing substrate damage and surface residues. This work is based on recent advances in atomic layer etching (ALE) of SiO2[1]. To achieve controlled etching of SiO2 at the Ångstrom level a cyclic approach consisting of a deposition step and etch step was initially employed. The deposition step deposits fluorocarbon (FC) films up to 10 Å thick on the surface. Subsequent low energy Ar+ ion bombardment during the etch step induces mixing of the FC film with the substrate and removal of the FC film together with the mixed, reacted substrate material. Oxide layer thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by X-ray photoelectron spectroscopy. The cyclic ALE approach did not remove native oxide from a Si substrate at the level required. A modified process was evaluated which used continuously biased Ar plasma with periodic CF4 injection. By eliminating a dedicated FC film deposition step, optimizing process times and ion energies, significant O removal from the Si surface was achieved, while leaving residual C. An additional H2/Ar plasma exposure performed at higher pressure and minimizing ion bombardment successfully removed residual C and F originating from the surface cleaning process. The combined treatment reduced O and C levels to about half compared to as received Si surfaces but removed ≈37 Å of Si. Similar to Si substrates, SiGe substrate oxide removal was seen upon applying this cleaning process, while the H2/Ar post treatment subsequently removed F and C-related species. O and C levels are reduced to ≈70% after the combined treatment while ≈55 Å of SiGe are removed. In addition, the surface is Ge richer after the cleaning process compared to SiGe surfaces as received. This feasibility study of Ar/FC based native oxide cleaning approaches for Si and SiGe substrates shows the potential to reduce O levels but at the cost of substantial substrate material loss and introducing low levels of C and F.

The authors gratefully acknowledge the financial support of this work by the National Science Foundation (CBET-1134273) and Lam Research Foundation.

References:

[1] D. Metzler and et al., J Vac Sci Technol A 32, 020603 (2014)

2:40 PM PS-WeA-2 Electrical Characterization of SiN Modified by Hydrogen and Helium Plasma for New Atomic Layer Etching Processes
Florentin Chambettaz, Laurent Vallier, Olivier Joubert (Univ. Grenoble Alpes, France)

As downscaling pace the microelectronic industry, current plasma etching processes show their limits. Actually for critical dimension smaller than 10 nm, atomic precision has to be reached. In this study, we are characterizing an Atomic Layer Etching (ALE) process by focusing on the induced damages related to the chemical and physical interaction(s) with hydrogen and helium plasmas. Hydrogen plasmas have been used for years in the microelectronic industry and studied in the fields of deposition (PECVD, Plasma Enhanced Chemical Vapor Deposition), surface processing (surface passivation, hydrogenation) [1] and plasma etching. However the mechanisms related to these processes are not fully understood yet mainly because hydrogen is an element with peculiar characteristics such as its low mass and electronegativity. Helium plasmas have been also used for many years in the microelectronics industry, mainly as an additives gas thanks to its low chemical reactivity and low mass. In order to modify the surface of ultrathin layers without damaging the materials, a very low ion bombardment is required (conditions similar to those obtained in a pulsed ICP reactor [2]). At the same time, dense plasmas are required to obtain satisfying etch rates when several nanometers have to be etched away. In this study we focus on plasma etching of silicon nitride by hydrogen and Helium plasma exposure in a commercially available 300 mm reactor, in order to develop an ALE process for spacer etching of future transistors [3]. Several process conditions are achieved with different ion energies and ion densities, on thin silicon nitride blanket samples. The quantity and the position in the silicon nitride of generated traps are studied with an electrical characterization. The effect of those plasma process condition on the silicon nitride etch rate will be discussed.

1. E.Despiau-Pujo, A.Davydova, G.Cunge, L.Delfour, L.Magaud, and D. B.Graves, Journal of Applied Physics, 113 (2013)

2. C. Petit-Etienne, M. Darnon, P. Bodart, M. Fouchier, G. Cunge, E. Pargon, L. Vallier, O. Joubert, and S.Banna, Journal of Vacuum Science & Technology B, 31 (2013)

3. N. Posseme, O. Pollet, and S. Barnola, Applied Physics Letters, 105 (2014)

3:00 PM PS-WeA-3 Electron Beam Generated Plasmas Produced in Fluorine-Containing Gases
David Boris, George Petrov, Tzvetelina Petrova, Sandra Hernandez, Scott Walton (Naval Research Laboratory)

Electron beam generated plasmas are characterized by high plasma density (>1010 cm-3), and very low electron temperatures (<1 eV) making them well-suited for next generation processing techniques where high fluxes of low energy ions are desirable. In addition, both modeling and optical emission spectroscopy indicate relatively low concentrations of radicals compared to discharges. In this work, we focus on the characteristics these plasmas in fluorine-containing chemistries (SF6, CxFy, etc.), due to their relevance to industrial etching applications. We discuss the electron density and temperature, electronegativity, excited F* atom emission, as well as ion flux and energy at adjacent surfaces for plasmas produced in Ar/SF6 Ar/F2 and Ar/CF4 mixtures, with particular attention paid to the influence of reactive gas concentration. These parameters are measured using Langmuir probes, optical emission spectroscopy, and energy-resolved mass spectrometry. The results are then compared with a one-dimensional, steady-state hydrodynamic model developed for electron beam generated plasmas produced in low pressure Ar-SF6 mixtures.

3:20 PM PS-WeA-4 Plasma-Enhanced Germanium Atomic Layer Etching (ALE)
Wenbing Yang, Samantha Tan, Keren Kanarik, Reza Arghavani, Thorsten Lill, Yang Pan (Lam Research Corp.)

Atomic layer etching (ALE) has been studied in the laboratory for more than 25 years and is being driven today by the semiconductor industry [1]. The case study ALE system is silicon ALE etched with alternating chlorine dosing and argon ion bombardment. Besides silicon, over 20 other materials have been reported with ALE including oxides, III-V compounds, and metals. Germanium, due to its superior hole mobility, is a prime candidate to replace silicon channel for use in future semiconductor devices. Two previous studies reported on germanium ALE in 1997. In the first study, Ikeda et al reported isotropic ALE of Ge by removing the chlorinated layer thermally [2]. In the second study, Sugiyama et al reported directional ALE of Ge by removing the chlorinated layer using argon ions [3]. In both reports, the chlorine was delivered thermally as a gas, without the use of plasma. Here we report on plasma-enhanced, directional Ge ALE. We will show results on both Ge blanket and patterned wafers and compare the behavior to the silicon case study.

References

1. Kanarik et al, J. Vac. Sci. Technol. A 33(2), Mar/Apr 2015

2. Ikeda, Imai, and Matsumura, Applied Surface Science 112, 1997

3. Sugiyama, Matsuura, Murota, Applied Surface Science 112, 1997

3:40 PM BREAK
4:20 PM PS-WeA-7 Damage Monitoring of GaN Film for Material Processing
Daisuke Ogawa, Yoshitsugu Banno, Yoshitaka Nakano, Keiji Nakamura (Chubu University, Japan)

Gallium nitride (GaN) is one of the candidate materials to realize miniaturized high power devices due to the wide band gap. The miniaturization of individual power devices, such as small inverters, are necessary to increase the electrical capacity, in particular, in upcoming electric vehicle era. In the last several decades, low-temperature plasma plays a role to speed computers up by fabrication. And now, the plasma is about to be applied to miniaturize high power devices. The advantage of the plasma processing is that a lot of semiconductor industries already have their own manufacture lines with the plenty of their processing experiences. However, it is also well known that plasma can create undesired change on the processing devices. This is called plasma induced damage (PID), which is created by ions, radicals, radiations, dusts etc. GaN cannot be the exception of the damage creation so that better understanding of damage developments is important to increase manufacture productivity.

We have been analyzing the evolution of GaN condition during plasma processing to clarify the damage creation mechanism. In-situ monitoring is a key diagnostic to understand the mechanism so that we have mainly utilized photoluminescence (PL) from the GaN that is exposed in processing plasma. The PL gives us the information of the volume-averaged damages from the surface to approximately 45 nm depth. The depth range is important because the change in the range affects the device performance when fabricated. The PL also gives us the indication of the optically-emissive intermediate states that are mostly caused by impurities and crystal defects in GaN. In particular, it is possible to know what kind of damage a specific plasma creates by observing a specific wavelength range of the PL, such as near-band-edge (NBE), blue (BL) and yellow luminescence (BL) range.

In this presentation, we will summarize our damage analysis on GaN that is exposed in processing (etching) plasma. Understanding of damage creation mechanism from the plasma is not trivial because plasma creates the surface damage in addition to the temperature increase due to the ion bombardments. The temperature can assist physical deformation of GaN and chemical reactions on the surface. This means that the isolation between the damage creation and the temperature rise by the plasma might be a good idea to understand the mechanism. Interestingly, our former PL measurements showed that cooling GaN kept PL better than non-cooling GaN even when exposing argon plasma.

5:00 PM PS-WeA-9 Neutral Beam Etching of Germanium Microstructure for Ge Fin-FET Devices
En-Tzu Lee, Shuichi Noda (Tohoku University, Japan); Wataru Mizubayashi, Kazuhiko Endo (AiST); Seiji Samukawa (Tohoku University, Japan)

Germanium Fin-FET has becoming a promising candidates for highly scaled CMOS FETs to solve the limitation of device scaling of Si CMOS FET due to large carrier mobility of Ge itself. However, it seems that the etching mechanisms of Ge and optimization of etching method have not investigated deeply in spite of its importance forming basic channel structures in FET devices. Since we have already succeeded to apply a neutral beam etching (NBE) method to damage-free Si Fin-FET fabrication process and shown excellent device performances1), much more advantages in the low-damage NBE can be expected for Ge fin etching because thermal annealing is difficult due to a heat resisting property of Ge.

The NBE characteristics of Ge Fin were evaluated using pure Cl2 gas chemistry which is the same for the Si Fin etching and compared results each other. The NBE system consists of an inductive coupled plasma (ICP) source and a carbon aperture plate where energetic negative ions are effectively converted to the neutral beam utilizing a pulse time modulated plasma. Ge Fin structure were etched with TEOS-CVD SiO2 hard masks which were patterned by an EB lithography and a conventional ICP RIE. The sample substrate was set on the cooled stage at -15°C. The Ge profile was optimized by adjusting the beam energy which was controlled by changing the RF bias power to the carbon aperture.

The Ge Fin was etched at the etch rate of more than three times larger than Si. However, the large bottom tails were observed with different profile from the Si Fin structure. The etch rate difference can be explained due to the different etch yield and chlorination density on the surfaces2). The large bottom tails of Ge Fin structure are considered to be caused by lower evaporation pressure of GeCl4 than that of SiCl4. The profile optimization was made by controlling RF bias power on the aperture plate. The bottom tail was reduced with increasing the bias power. The side-etching under the SiO2 hard mask hardly increased during long over etch period. Conversely, the etch rate of Ge was almost constant regardless of the RF bias power. This results indicate that the etching reaction is limited by Cl supply, which chlorinates adsorption site on the Ge surface. The lateral etching is limited because the Cl radical density is low and the samples are cooled down to -15°C. The bottom tails seemed to be reduced in according to beam divergence narrowing. High magnification TEM images showed extremely smooth side wall surface in the substantially atomic revel.

1) K. Endo et al., IEDM Tech. Dig. (2005) pp. 840-843. 2)J-Y Choe, et al., J. Vac. Sci. Technol. A, 16, 3266 (1998).

5:20 PM PS-WeA-10 Selective Trimming of Surface Oxygenated Groups through Vacuum Ultraviolet Light Irradiation in an Evacuated Environment
Ahmed Soliman, Toru Utsunomiya, Takashi Ichii, Hiroyuki Sugimura (Kyoto University, Japan)

Vacuum ultraviolet (VUV) light of 172 nm is widely used for modifying the surfaces of polymers and self-assembled monolayers (SAMs) to be applicable in microfluidics, lithography and microelectromechanical systems (MEMS) devices. The influences of VUV light on the surface modifications are dependent on the irradiation environment. In an atmospheric environment, the VUV light generates active oxygen species (O), which can functionalize and etch the organics at the irradiated surface.1 While in high vacuum condition (HV), the rate of oxidation process at the VUV-irradiated surface decreased,2 and other photochemical reactions, such as photo-cleavage can proceed apparently.

In this work, we examined the influence of HV-VUV treatment on the surface oxygenated groups of SAMs. We used VUV/(O)-modified hexadecyl (HD-) SAMs in this study, because of their highly-dense and well-defined structure. Furthermore, these modified-SAMs were homogenously terminated with different oxygenated groups.1 These modified-SAMs were HV-VUV irradiated for different periods. The changes of the chemical constituents after HV-VUV treatment were characterized by X-ray photoelectron spectroscopy (XPS) and chemical derivatization using different fluorinated reagents.

After VUV/(O) treatment, the XPS results showed that the oxygenated groups at the surface of SAM contained both derivatizable (such as OH, CHO and COOH) and nonderivatizable (C-O-C, C-CO-C and C-COO-C) groups.1 The HV-VUV affected the surface components; the decrease of COO and C-O moieties, while the slight increase of C=O moieties. The C-C components were slightly influenced by the HV-VUV irradiation. Considering the changes of the chemical constituents, wettability and morphology, the routes and mechanisms of the chemical conversions at the HV-VUV irradiated surface were discussed.

We concluded that the HV-VUV treatment to the surface oxygenated groups could only dissociate the components containing C-O bonds without significant influence on the C-C skeleton. The HV-VUV can be considered as a selective-trimming modification technique with less degradation, as the components containing C-O bonds were only dissociated.


References

  1. A. I. A. Soliman et al., Soft Matter, 2015, 11, 5678–5687.
  2. S. Ichikawa, J. Appl. Phys., 2006, 100, 033510 (1–5).
5:40 PM PS-WeA-11 Transistor Performance Improvement Through Low-Damage Plasma-Enhanced ALD Metal Gates
Christopher Brennan, Christopher Neumann, Steven Vitale (MIT Lincoln Laboratory)

Metal gate materials have now replaced polysilicon gates for advanced silicon CMOS fabrication of both planar silicon MOSFETs and FinFETs. However, plasma processes employed for metal gate deposition can cause significantly more damage to the gate dielectric material than with traditional chemical vapor deposition polysilicon gates, resulting in reduced device performance and reliability. Titanium nitride (TiN) is one such metal gate material, possessing both thermal stability and compatibility with gate dielectric materials. Additionally, the workfunction of TiN can be tuned to make mid-gap metal gates for undoped-body fully depleted silicon-on-insulator (FDSOI) transistors for subthreshold, ultra-low power operation. Gate dielectric quality remains critical for advanced device fabrication, especially for these low power, low leakage devices.

This work compares plasma-induced gate oxide damage by two different metal gate deposition processes: magnetron sputtering and plasma-enhanced atomic-layer-deposition (PE-ALD). FDSOI transistors fabricated with either gate deposition process showed similar electrostatic performance, with good short channel performance including subthreshold swing, DIBL, and Vt roll-off. However, gate dielectric quality metrics were significantly better when PE-ALD TiN was used compared to plasma sputtered TiN. CV measurements exhibited stretching of the curves and increased hysteresis with sputtered TiN compared to PE-ALD TiN, indicative of a higher density of interface states in the former case. In addition, gate leakage was 1200x higher for the plasma sputtered TiN devices, which is consistent with a high density of defects in the gate oxide leading to trap-assisted tunneling. Finally, transistors fabricated with both methods show that those fabricated with PE-ALD TiN demonstrate a significantly lower gate oxide failure probability.

Taken together, the electrical results suggest that plasma sputtering damages the gate dielectric through energetic ion and vacuum ultra-violet (VUV) photon bombardment which breaks Si-O bonds and leaves defect states. In addition to higher leakage, these defect states can lead to device reliability issues and high early failure rates. Alternatively, inductively coupled plasma PE-ALD produces a much lower energetic ion and VUV flux at the wafer surface, resulting in markedly less damage. Instead of damaging the gate oxide, PE-ALD initially deposits a sub-nm TiOCN film which may serve as a passivating layer. This layer does not seem to induce any undesirable device characteristics except for a slight increase in EOT.

6:00 PM PS-WeA-12 In situ Optical Diagnostics during Atomic Layer Etching of SiO2 using Alternating Cycles of C4F8 and Ar Plasma
Noemi Leick, Ryan Gasvoda (Colorado School of Mines); Alex van de Steeg (Eindhoven University of Technology, Netherlands); Rafaiel Ovanesyan (Colorado School of Mines); Ranadeep Bhowmick, Eric A. Hudson (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

Due to the continuous shrinking of semiconductor devices combined with the 3D architecture, the demands on dry etching processes have become increasingly stringent. Therefore, the development of more precise etching methods is necessary, and atomic layer etching (ALEt) is a promising technique to enable atomic-level thickness control, directional etching and material selectivity. Recently, ALEt of SiO2 has been extensively studied, using a cyclic process that involves plasma deposition of a fluorocarbon (CFx) layer, followed by an Ar plasma exposure to activate the fluorine for etching.

In this work, SiO2 was etched using an ALEt process based on an octafluorocyclobutane (C4F8) plasma to deposit the CFx layer and an Ar plasma for the removal of the material. In each ALEt half-cycle, in situ attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and in situ four-wavelength ellipsometry were simultaneously used to study the film composition and the change in film thickness, respectively. From these measurements, it was possible to conclude that under the C4F8 plasma conditions used, the CFx layer can be deposited at a growth rate of ~1.65 Å/s, while minimizing the SiO2 removal to negligible amounts. At the end of the CFx deposition step, the predominant IR feature was centered at 1220 cm-1, and can be attributed to CFx (x=1,2,3), while some surface Si-C, Si-F and C-O can also be observed, suggesting the formation of an intermixed SiO2/CFx layer. In line with this result, the IR from the first 10 s of Ar plasma clearly shows the instantaneous removal of SiO2. This removal continues for the 60 s of Ar plasma exposure, and ellipsometry enables us to distinguish between two etch regimes. The first regime has a high etch rate, ~0.5 Å/s, indicating a high F concentration in the intermixed SiO2/CFx layer. As the film etching proceeds, the intermixed layer becomes F-deficient which slows down etching, until finally the SiO2 removal is dominated by inefficient Ar+ sputtering. From these results, SiO2/CFx intermixing seems to be the dominant etching mechanism in this ALEt process.

In addition to the instantaneous removal of SiO2, an increase in the CFx signal was initially detected in the IR during the first 10 s of the Ar plasma exposure. Because at the end of the 60 s Ar plasma step no net incorporation of CFx can be observed, we propose that CFx is initially redeposited from the reactor surfaces and participates in the etching process. This redeposition increases the etch rate during the Ar plasma cycle by providing additional amounts of F from the gas phase, but also undesirably increases the etch rate with increasing ALEt cycles.
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule