AVS2016 Session PS+TF-WeA: Plasma Deposition and Plasma Assisted ALD

Wednesday, November 9, 2016 2:20 PM in Room 104B

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
2:20 PM PS+TF-WeA-1 High Quality Film and Interface Formation using Appropriate Reaction Species
Akinobu Teramoto (Tohoku University, Japan)

The strong reactive species are required for the high quality film deposition by CVD or ALD. For oxides or nitrides formation, oxygen radicals or nitrogen radicals generated by the microwave exited plasma are very effective(1-3) because of high density and low plasma damages. The integrity of SiO2 film formed by the microwave exited PECVD is the same as that formed by the thermal oxidation on Si(100) surface, and is superior than that formed by the thermal oxidation on any other surface of Si(100)(1, 2). The SiO2 deposition rate of the microwave PECVD is sufficiently large for the practical use. For the SiNx film formation, the microwave PECVD is also effective. The quality of SiNx film formed by the PECVD at 400 °C is the same as that formed by thermal CVD(4, 5). However, the relatively long deposition time is required for high quality film deposition, and relatively low pressure is also required, as a result, the gap fill characteristics is weaker than the thermal CVD. The high quality SiNx film deposition at low temperature and relatively high pressure are required. The nitrogen radical generated by the atmospheric pressure discharge is attractive for these applications(6, 7).

We have to choose the reactive species more carefully when the depositing material is different from the substrate, such as Al2O3 on Si or GaN, SiO2 on GaN. If the reactive species is excessively active, the species react not only the precursor but also the substrate, and the materials of the substrate and the film are mixed at the film/substrate interface, as a result, those mixed materials make defects and interface traps. Al2O3 can works as the gate insulator for the GaN-based MOSFET(8, 9) because of the large band gap and the high resistivity to Ga diffusion. The H2O which has relatively lower oxidation ability than oxygen radical was used as the oxidant at the initial stage of Al2O3 ALD for suppressing the oxidation of GaN surface. Following radical oxygen treatment by microwave exited plasma is very effective for improving the film quality of Al2O3(9). It is noticed that the oxidation of GaN at the Al2O3/GaN interface must not occur during the oxygen treatment.

References

1. T. Ohmi, et al., J. Phys. D: Appl. Phys., 39, R1 (2006).

2. H. Ueda, et al., Jpn. J. Appl. Phys., 48, 126001 (2009).

3. A. Teramoto, et al., ECS Trans., 66, 151 (2015).

4. Y. Nakao, et al., ECS Trans. 45, 421 (2012).

5. Y. Nakao, et al., SSDM, p. 905, Nagoya (2011).

6. O. Gaku,et al., J. Phys. D: Appl.Phys., 41, 155204 (2008).

7. Y. Shiba, et al., ECS Trans., 69, 1 (2015).

8. P. D. Ye, et al. Appl. Phys . Lett., 86, 063501 (2005).

9. H. Kambayashi, et al., Jpn. J. Appl. Phys., 52, 04CF09 (2013).

3:00 PM PS+TF-WeA-3 The Synergy of Diamond-like Carbon Film PECVD Systems: Plasma Diagnostics and Film Properties
Tara Van Surksum, Ellen Fisher (Colorado State University)

Diamond-like carbon (DLC) films have numerous potential applications because of their appealing mechanical and electronic properties (e.g., hardness, thermal conductivity, and high electrical resistance). Plasma enhanced chemical vapor deposition (PECVD) is a widely used technique in the production of DLC films, but to date, little is known about the underlying molecular-level chemistry involved in DLC plasma processing. In particular, energy partitioning within plasmas used to either produce or modify DLC films is not well understood. The present work focuses on investigating the fundamental chemistry of hydrocarbon plasmas used in DLC film processing as a means of understanding and ultimately controlling film fabrication. Here, we present a more holistic assessment of PECVD system used to create DLC films, including analysis of the gas-phase as well as the resulting materials. This comprehensive evaluation utilizing optical spectroscopy techniques and surface analysis tools (e.g., profilometry, contact angle goniometry, and Fourier transform infrared spectroscopy) is part of a larger effort to elucidate fundamental physical and chemical information on plasma processes that control deposition. For example, developing an understanding of energy partitioning within these plasma systems is a central component of this work as we have employed optical emission spectroscopy and broadband absorption spectroscopy to determine rotational and vibrational temperatures (Trand Tv, respectively) of the CH radical in a variety of hydrocarbon precursor plasma systems. In CH4 plasmas, Tv(CH) ranges from ~3000 to ~5000 K under most plasma conditions, whereas Tr generally reaches values ranging from 1000-2000 K. Both values appear to be correlated with system pressure and applied rf power. These results will also be presented in relationship to the properties of the deposited films. More importantly, data such as these provide valuable insight regarding possible mechanistic details in hydrocarbon plasmas linked to DLC film fabrication and help to unravel these complex systems with and without the presence of a substrate.

3:20 PM PS+TF-WeA-4 ULK Film Dielectric Constant Restoration through Enhanced Organic Plasma Treatment
Zhiguo Sun, Jeff Shu, Petra Mennell, Qin Yuan, Anita Madan, Steven Molis, Jay Mody, Yibin Zhang, Joseph Shepard Jr (GLOBALFOUNDRIES)

Ultra low k (ULK) films has been successfully integrated into Back End of Line(BEOL) interconnect to maintain a lower RC delay to take advantage of transistor continuous scaling, and to keep power consumption at a low level. However, due to its intrinsic composition and porosity, ULK films are susceptible to damage during the following process, especially patterning process and wet process such as wet clean and CMP. It is desirable to restore the dielectric constant to its original number to get full benefit if low k value. In this study, We will report a novel plasma treatment method being able to enhance the film resistance to plasma damage and repair the plasma damage. Through the detailed comparison between the pristine films,, damaged films and repaired films through analytical methods including Ellipsometry Porosimetry(EP) ,Fourier transform infrared spectroscopy ( FTIR), X-ray photoelectron spectroscopy (XPS) and Hg-probe, we find the organic plasma treatment capable of restoring the surface porosity, restore the lost methyl groups and restore the dielectric constant to its original value.

3:40 PM BREAK
4:20 PM PS+TF-WeA-7 Understanding of Low Temperature ALD of Silicon Nitride
Harm Knoops (Oxford Instruments Plasma Technology, UK); Roger Bosch, Tahsin Faraz, Maarten van Drunen, Lidewij Cornelissen, Mariadriana Creatore, Erwin Kessels (Eindhoven University of Technology, Netherlands)

This contribution highlights insights into atomic layer deposition (ALD) of silicon nitride (SiNx) and shows how considering these results in high material quality at low deposition temperatures. Thermal ALD processes generally require high temperatures for sufficient SiNx quality and therefore plasma ALD has been studied extensively in the last few years. The model system discussed here consists of ALD processes using aminosilane precursors, such as SiH2(NHtBu)2 (BTBAS) and SiH3N(sBu)2 (DSBAS), and N2 plasma as reactant.

Most plasma ALD processes for nitrides utilize NH3 or H2/N2 plasmas, but for SiNx it was found that the presence of H-containing species in the plasma strongly inhibits precursor adsorption.1 DFT calculations demonstrated that groups with H on the surface have low reactivity with aminosilane precursors. Under-coordinated surfaces however, such as those obtained after N2 plasma, have a much higher reactivity. To determine the nature of the surface, surface FT-IR studies were carried out. These indicated that the surface chemistry is rather complex as C and H species typically remain on the surface after the plasma step. Mass spectrometry showed that this can be related to reaction products that are created by the plasma step but which dissociate in the plasma and subsequently redeposit.2 Shorter gas residence times reduce this redeposition effect and provide improved film properties (e.g., wet-etch rate, impurity content, and refractive index). The surface chemistry during the precursor step is relatively straightforward as gas-phase IR measurements and mass spectrometry measurements reveal that amino-groups from the precursor are released from the surface (e.g., in the form of H2NtBu). Note that not all the groups are released during the precursor step, as evidenced by the aforementioned redeposition effect.

Taking these aspects into account, high quality SiNx layers were prepared by ALD at low temperatures. One particular example is that films prepared at 120 °C using BTBAS precursor and Ar/N2 plasma were found to have excellent barrier properties against moisture.3 Intrinsic water-vapor transmission rates in the range of 10−6 g/m2/day were obtained for films as thin as 10 nm.3 When DSBAS is used as precursor the redeposition effect appears to be reduced further, likely due to the fact this is a mono-aminosilane precursor. Precursor saturation, material quality and conformality vary with precursor and plasma employed and these aspects will be discussed in the contribution .

1 Ande et al., J. Phys. Chem. Lett.6, 3610 (2015)

2 Knoops et al., Appl. Phys. Lett.107, 014102 (2015)

3 Andringa et al., ACS Appl. Mat. Inter.7, 22525 (2015)
4:40 PM PS+TF-WeA-8 Plasma Assisted Atomic Layer Deposition of SiCxNy Films with Methylamine as the Carbon Source
Rafaiel Ovanesyan, Noemi Leick, Ryan Gasvoda (Colorado School of Mines); Kathryn Kelchner, Dennis Hausmann (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)
The introduction of 3-D device architectures in integrated circuits has created a need for atomic layer deposition (ALD) of highly conformal ultra-thin films. In particular, ALD of low-dielectric-constant, carbon-containing silicon nitride (SiCxNy) films at temperatures ≤400 °C is required. However, controlled incorporation of C atoms into SiNx during ALD remains challenging.

In this work, we report the C incorporation mechanism during two plasma-enhanced SiCxNy ALD processes. The first ALD process consisted of three steps, Si2Cl6/thermal CH3NH2/N2 plasma, while the second process consists of two steps, Si2Cl6/CH3NH2 plasma. In both ALD processes, we have determined the film composition, reactive sites, and adsorbed surface species using in situ attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. In addition, the growth per cycle (GPC) and refractive index were determined using in situ four-wavelength ellipsometry.

Our IR spectra show that in the first ALD process, the CH3NH2 thermally reacts with –SiClx surface species created after the Si2Cl6 half-cycle to form -CHx terminated surface amides. During the subsequent N2 plasma half-cycle, in addition to nitridation of Si, a fraction of the surface CHx groups were incorporated into the SiCxNy film as -N=C=N- species, which appear as a strong vibrational mode at ~2170 cm-1. The composition of the SiNx films in the two-step ALD process was very similar, with C incorporated primarily as -N=C=N- groups created during the CH3NH2 plasma half-cycle. We find that during the exposure of the film to Si2Cl6 following an CH3NH2 plasma half-cycle, surface carbodiimides (-N=C=NH) rearrange to nitriles (-NH-C≡N), while most of the surface is terminated with –SiClx species. The subsequent CH3NH2 plasma half-cycle, shows that the-NH-C≡N species formed during the Si2Cl6 half-cycle are removed, and the N=C=NH surface species are restored along with –NHx groups. For the Si2Cl6/CH3NH2 plasma ALD process, SiNx films grown at 400 °C show a carbon content of ~4 at.% as measured through Rutherford backscattering spectroscopy combined with hydrogen forward scattering. Transmission electron microscopy shows a conformality of >95% for the SiCxNy films. The GPC for both processes was ~0.9 Å, with a refractive index of 1.95 and 1.86 for the N2 plasma and CH3NH2 plasma ALD processes, respectively.

5:00 PM PS+TF-WeA-9 Plasma Enhanced Atomic Layer Deposition in the Semiconductor Industry
Adrien LaVoie (Lam Research Corporation)
Atomic layer deposition (ALD) has emerged as a key and enabling technology for <2X node fabrication methods in the modern semiconductor manufacturing toolbox. Today’s applications range from front-end-of-line (FEOL) spacers and liners, isolation gapfill, FinFet conformal doping, multi-patterning layers, and through-Si-via (TSV) 3D liners. When considering ALD for HVM applications, the appropriate selection of platform and tool architecture is imperative with the goal of optimizing performance, reliability, cost, and throughput. In the first section, platform architecture features and requirements will be correlated to ALD market applications. In the second section, we focus on increasing throughput. Throughput demands have led to several hardware and process innovations including driving ALD towards operation in sub-saturation regimes. The greatest advantage of ALD is the self-limiting nature of the two half reactions which provides precise thickness control, extremely high film uniformity and conformality. However, the first half reaction of precursor adsorption requires saturation at longer times with diminishing growth per unit time when considering the dose saturation curve. The self-limiting nature is achieved at the expense of lower throughput and higher chemical consumption. An alternative is to perform “sub-saturated” processing in the sub-saturated regime. This presents a paradigm shift for ALD that permits conformal film deposition without satisfying saturated half reactions. Herein we demonstrate the modulation of dosing uniformity and conversion uniformity using various process parameters to achieve excellent wafer-to-wafer thickness control, within wafer non-uniformity and compositional uniformity. The utilization of the sub-saturation processing regime provides advantages in terms of throughput and chemical usage and has driven novel hardware designs.
5:40 PM PS+TF-WeA-11 Substrate Biasing during Remote Plasma-ALD On Planar and 3D Substrates
Tahsin Faraz (Eindhoven University of Technology, The Netherlands); Harm Knoops (Oxford Instruments Plasma Technology, UK); Dennis Hausmann, Jon Henri (Lam Research Corporation); Erwin Kessels (Eindhoven University of Technology, The Netherlands)

Ion-surface interactions during plasma-enhanced atomic layer deposition (PEALD) can influence the physical and chemical properties of the growing material. The limit to which ion-surface interactions can influence the deposition process depends on the energy and flux of the ions which are governed, in principle, by various process parameters. In a low pressure, remote inductively-coupled-plasma (ICP) reactor (e.g., Oxford Instruments FlexAL) capable of producing a wide range of ion fluxes, the ion energy can be controlled independently of the ion flux if equipped with substrate biasing. Previously, our group demonstrated that the material properties of thin films deposited on planar substrates using remote plasma-ALD can be tailored by controlling the energy of the impinging ions through substrate biasing.1

In this contribution, we will investigate the role of the ion energy via substrate biasing during remote plasma-ALD on both planar and 3D topologies. An upgrade to enable substrate biasing (up to 100 W, 13.56 MHz RF power, -500 V resulting DC bias) has been implemented in the FlexAL system in our laboratory. PEALD processes for SiNx, a material used as gate spacers and hard masks during CMOS fabrication, were developed using aminosilane precursors and N2 plasma.2 The processes were modified by incorporating a tunable RF bias signal on the substrate table during the N2 plasma exposure step which enabled control over the energy of the nitrogen ions impinging on the growing film. SiNx films were simultaneously deposited on planar Si wafers and 3D trench nanostructures (AR ~ 4.5 : 1) using bias powers upto 10 W (~ -65 V resulting DC bias). The planar films deposited with biasing typically exhibited lower refractive indices and densities (~ 1.71 and 2.75 g/cm3 respectively for -65V) compared to those deposited without biasing (~ 1.93, 3.13 g/cm3). A 30s dilute HF etch treatment was performed on the films deposited on 3D trench nanostructures. Horizontal SiNx film regions located at the top and bottom surfaces of the trench exhibited very high wet etch rates (WER) and were completely removed after the etch. However, vertical SiNx film regions exhibited very low WERs (~ 3 nm/min) and remained selectively at the trench sidewalls post-etch. It will be discussed that the results observed could hold potential applications in multiple patterning and area-selective processing techniques, relevant for the fabrication of state-of-the-art FinFETs and next-generation “gate-all-around” FETs.

1 Profijt, Van de Sanden, Kessels., J. Vac. Sci. Technol. A 31, 01A106 (2013)

2 Knoops, Braeken, de Peuter, Potts, Haukka, Pore, Kessels, ACS App. Mat. Interfaces 7, 19857 (2015)

6:00 PM PS+TF-WeA-12 A Novel ABC-type ALD Process for Cobalt using CoCp2 and N2 and H2 Plasmas
Martijn Vos, Nick Thissen, Adrie Mackus, Erwin Kessels (Eindhoven University of Technology, Netherlands)

Cobalt is a transition metal which is receiving much interest, among others due to its ferromagnetic properties. One of the promising applications is in multilayers and alloys of Co/Pt, which are used in nonvolatile memory devices such as magnetic random-access memory (MRAM). For many of the applications of Co the key strengths of atomic layer deposition (ALD), i.e. conformality and ultimate thickness control, can be very beneficial. In previous work, the ALD processes using cobaltocene (CoCp2) and NH3 or N2/H2 plasma showed a decent growth-per-cycle (GPC) and good material properties, including a low resistivity.1,2 It was found that the best material properties were obtained for a N2/H2 mixing ratio of ~0.33, corresponding to the highest production of NH3 in the plasma. This result suggests that NH3 is necessary for obtaining high purity Co films.

In this contribution we address ALD of Co films using CoCp2 and subsequent N2 and HH2HHfdfd H2 plasmas. By comparing this ABC process to the AB process with a combined N2/H2 plasma the role of NH3 can be further investigated. Moreover the ABC process offers additional flexibility over the AB process, such as different powers and pressures during the subsequent plasma steps. Films were deposited on different substrates, including Si, SiO2 and Pt, at temperatures from 100 to 300°C yielding a GPC between 0.2 and 0.4 Å. It will be shown that despite the absence of NH3 in the plasma, the ABC process can be used to deposit high-purity films of Co on ALD Pt films, with contamination levels as low as 1 at.%, as measured by X-ray photoelectron spectroscopy (XPS). On the other hand, considerable amounts of C, O and N contamination (2-10 at.%) in Co films deposited on Si and SiO2 suggest that NH3 is a prerequisite for high purity films on these substrates. This difference between deposition on Pt and Si/SiO2 is likely due to the catalytic activity of the Pt, causing the reduction or ‘cleaning’ of the deposited Co. In addition the fabrication of Co/Pt multilayers using ALD will be discussed.

1. Lee, H.-B.-R. et al., Electrochem. Solid-State Lett. 9, G323 (2006).

2. Yoon, J. et al., J. Electrochem. Soc. 158, H1179 (2011).

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule