AVS2016 Session PS+TF-WeM: Atomic Layer Etching

Wednesday, November 9, 2016 8:00 AM in Room 104C

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
8:00 AM PS+TF-WeM-1 Selective Cyclic Plasma Etching of Thin Films in Two Heating Way, Ion Bombardment and Infrared Irradiation.
Masaru Izawa (Hitachi High-Technologies Corp., Japan); Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi (Hitachi, Japan); Naoki Yasui, Motohiro Tanaka, Yasushi Sonoda, Kenichi Kuwahara (Hitachi High-Technologies Corp., Japan); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

With shrinking device size and introduction of 3D FinFET transistor structure, cyclic Atomic Layer Etching (ALEt) becomes one of the key technologies in thin film etch. To achieve extreme high selectivity against mask and etch-stop layers, atomic level etching as a method to meet these etching requirements and eliminate physical damage has been investigated. Further, isotropic ALEt will be required for use in nanoscale patterning for formation of more complex 3D structures. In cyclic ALE technology, a modification layer is formed on a thin film layer by supplying etching species. After that, the modification layer is removed by heating. We investigated two types of ALEt tools; one is a anisotropic ALE tool based on Microwave ECR plasma and the other is a isotropic ALE based on ICP type down-flow plasma.

Recently, we have reported results of isotropic ALEt of Silicon Nitride (SiN) film [1]. High-throughput and high-selectivity ALEt of SiN using IR irradiation and down-flow plasma was also demonstrated [2]. Modification layer, ammonium hexafluorosilicate ((NH4)2SiF6), was synthesized by fluorocarbon gas plasma and nitrogen supplied from SiN flim. Because the modification layer is formed only on SiN film, SiN film can be removed with high selectivity at IR radiation heating step. This technology can be also applicable to ALEt of TiN.

Anisotropic ALEt was also investigated by using Microwave-ECR plasma [3]. In this study, Ar ion irradiation was utilized instead of heating. To achieve high selectivity, the ion energy lower than sputtering threshold is required. Because microwave-ECR plasma has low plasma potential and is not fluctuated by wafer RF power, lower ion energy is available. In addition, It is known that excessive dissociation of gases and by-products causes reverse reaction and degradation of selectivity. We therefore used high gas flow rate and pulsed plasma to reduce dissociation. This ALEt technology has been applied to high selective etching of Si, HfO2, and SiN film.

[1] K. Shinoda et al., AVS Atomic Layer Etching workshop 2015.

[2] N. Miyoshi et al., 62 nd AVS, , PS+SS+TF-WeM5, 2015.

[3] M.Tanaka et al. , SPIE Advanced Litho., 9428-23, 2015.

8:40 AM PS+TF-WeM-3 Concurrent Engineering of Atomic Layer Etch Patterning Processes Involving Oxide and Nitride Materials
Mingmei Wang, Patrick Chan (TEL Technology Center, America, LLC); Peter Ventzek (Tokyo Electron America); Alok Ranjan (TEL Technology Center, America, LLC)

Atomic layer etching (ALE) of Si has been the focus of extensive research and development for over two decades. [1] However, the precision etch of dielectric materials (SiO2, Si3N4) in patterning schemes employing self-aligned contacts (SACs) and self-aligned multiple patterning (SAMP) at the 10nm technology node and beyond are where ALE has significant potential. In both SAC and SAMP schemes, an oxide layer must be etched selective to a thin nitride layer with a corner with a thickness and radius of curvature of less than 10 nm. Fortunately precision etch using cyclic deposition/etch schemes have been proven effective at preserving the thin nitride corner. Unlike atomic layer etching of silicon using chlorine, fluorocarbon chemistry etching of nitride and oxide is not self-limiting process. The thin fluorocarbon polymer layers that protect the nitride layer corner deep in a feature are difficult to measure with common in-line metrology. Both these facts make trial-and-error development of processes for cyclic etch of oxide materials selective to nitride underlayers challenging. We have used concurrent engineering approaches including both modeling and experiment to bypass these difficulties. The core of the approach is a new integrated chamber (HPEM)-feature scale MCFPM (Monte Carlo Feature Profile Model) model [2] for oxide nitride etch experiments conducted on a dual frequency plasma source using a benchmark Ar/C4F6/O2 chemistry. The concurrent engineering approach comprises stages of development and prediction tests using both blanket wafer and patterned coupon data and finally process parameter optimization. By using this approach, we have minimized nitride corner loss and optimized nitride/oxide etch selectivity with a minimum of engineering resources. The presentation will survey both experimental and computational results representing a case study in SAC process development. Furthermore, insights into the relationship between chamber function and critical surface processes will be discussed.

[1] A.Ranjan, M.Wang, S.Sherpa, V. Rastogi, A. Koshiishi, and P.Ventzek, J. Vac. Sci. Technol. A34, 2016.

[2] M.Wang and M.Kushner, J. Appl. Phys 107, 2010.

9:00 AM PS+TF-WeM-4 System Trade-offs of Atomic Layer Etching (ALE) of High Aspect Ratio 3D Features
Chad Huard (University of Michigan); Yiting Zhang, Saravanapriyan Sriraman, Alex Paterson (Lam Research Corp.); Mark Kushner (University of Michigan)

Atomic layer etching (ALE) is a method for decoupling process parameters that, with continuous etching, are usually difficult to separately control. ALE does so at the trade-off of decreased etch rate. Of particular interest is the ability of ALE to separate the consequences of plasma parameters, such as ion to neutral flux ratios and ion energies, from issues of transport into and out of the feature. By using separate and self-limited reactions for surface passivation and material removal, ALE offers a way to deliver the optimum neutral/ion ratio at any aspect ratio at the trade-off of increasing etch time.

Using a 3-dimensional voxel based Monte-Carlo feature profile model, the trade-off between etch time and etch fidelity encountered in the ALE regime has been investigated. The poly-silicon gate etch process of a high-k metal replacement finFET is the base case for this study. The time-multiplexed scheme to achieve ALE is an Ar/Cl2 plasma passivation step followed by an Ar plasma step having higher ion energies to remove Si. We found that the change in neutral conductance of the feature as the aspect ratio increases requires adjusting process step times to optimize etch rates. We also found that ALE is able to clear corners in 3D features more effectively than continuous etching, requiring less over-etch. A measure of clearing efficiency is the amount of over-etch required to clear the corners compared to the total etch time. The clearing efficiency was investigated for an entirely ALE process and a hybrid approach using a continuous main etch followed by an ALE clearing etch, over a range of geometries including varying fin spacing and side-wall slope.

Work was supported by Lam Research Corp., Department of Energy Office of Fusion Energy Science and the National Science Foundation.

9:20 AM PS+TF-WeM-5 Molecular Dynamics Simulations of Atomic Layer Etching
Jun-Chieh Wang, Shahid Rauf, Jason Kenney, Leonid Dorf, Kenneth Collins (Applied Materials, Inc.)

Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. As such, atomic layer etching (ALE) has become a potential candidate for accurate control of a variety of critical etching processes. In ALE, the target substrate is first exposed to a reactive gas that passivates the surface followed by ion bombardment with energy below the sputtering threshold. It is essential to precisely control the ion/radical energy and flux during the etching process to remove the topmost passivated surface without damaging the underlying substrate. Once the passivation layer is removed, the etch process stops. The passivation and etching steps repeat until one has etched to the desired thickness. In contrast to conventional radio-frequency (RF) plasma etching processes, microfabrication using ALE promises high selectivity and low damage to the substrate. In this talk, we discuss the properties of ALE on a patterned surface using results from molecular dynamics (MD) simulations. A chlorinated Si/SiO2 surface was bombarded by Ar+ or Cl+ ions to remove the modified surface layers. With Ar+ energy below the sputtering threshold, etch process stops after the Si surface becomes deficient in Cl atoms; while at high bombarding energy, Si removal continuous with lower rate partly due to physical sputtering. For Cl+ ion bombardments, the Si surface is continuously etched at a constant rate, and the etch rate increases with Cl+ ion energy. Results for different aspect ratios will also be discussed. These fundamental studies are used to interpret our layer-by-layer ALE experiments.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS+TF-WeM-10 Isotropic Atomic Layer Etching of Titanium Nitride Using Formation and Desorption of Ammonium Salt
Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Kurihara (Hitachi, Japan); Satoshi Sakai, Masaru Izawa (Hitachi High-Technologies, Japan); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

There is growing interest in atomic layer etching (ALEt) as 3D devices become widely used and feature sizes continue to scale down. The development of isotropic ALEt for various materials will be important for existing and future 3D devices such as a 3D NAND, Fin FET, and GAA FET. Recently, the authors developed an isotropic ALEt for SiN using formation and desorption of an ammonium hexafluorosilicate-based modified layer [1]. High-throughput high-selectivity ALEt of SiN using IR irradiation was also demonstrated by the authors [2]. In this work, isotropic ALEt of TiN using formation and desorption of an ammonium salt-based modified layer is developed.

The experimental apparatus used in this study is composed of a reaction chamber and an x-ray photoelectron spectroscopy (XPS). TiN deposited by atomic layer deposition was used as the sample material. Several samples were exposed to radicals that were generated in fluorocarbon-based gas mixtures. The samples were then annealed by using circulating fluid. The surface of the samples was analyzed by XPS. Photoemission spectra obtained after radical exposure and after thermal annealing of the TiN samples are compared. The etching depth was evaluated by ellipsometry.

A nitrogen 1s peak (402 eV), which has been assigned as ammonium salt, was observed after radical exposure. Titanium 2p peaks (462 and 467 eV), which originate from a Ti-F bond, were observed simultaneously. These results imply that the surface of the radical exposed TiN consists mainly of ammonium salt such as ammonium fluorotitanate. After the samples were annealed on the wafer stage heated at 210°C, the nitrogen 1s peak at 402 eV, which is assigned as ammonium salt, disappeared. A nitrogen 1s peak at 396 eV, which is attributed to TiN, appeared after the ammonium salt-related peak disappeared. This phenomenon implies that the film of ammonium salt decomposed and desorbed from the TiN surfaces at elevated temperatures.

The preliminary tests of cyclic etching are carried out by repeating radical exposure and thermal annealing. For one cycle of etching, the etching depth increases with increasing radical exposure time and saturates at 0.7 nm. For multiple cycle etching, the etching depth increases with an increasing number of repetitions of the cycle. Tuning of the etched amount per cycle (EPC) in the range from 0.3 to 0.7 nm was demonstrated by changing the composition of gas mixtures. From these results, it is concluded that the ALEt of TiN was successfully demonstrated.

[1] K. Shinoda et al., Atomic Layer Etching Workshop 2015, July 1–2, p. 572 (2015).

[2] N. Miyoshi et al., AVS 62nd International Symposium & Exhibition, PS+SS+TF-WeM5 (2015).
11:20 AM PS+TF-WeM-11 Organic Etchants Toward Atomic Layer Etching of Magnetic Metals
Nicholas Altieri, Luke Minardi, Ernest Chen, Jane P. Chang (University of California Los Angeles)

The continued advancement in logic and memory devices relies heavily on the introduction of new materials. Of specific interest in the field of memory application is the utilization of magnetic metals and alloys such as Co, Fe, and CoFe as well as additional doped alloys such as CoFeB. Contemporary techniques for patterning these materials rely on noble ion beam milling which, although effective, leaves much to be desired in achieving selectivity and retaining pattern transfer fidelity for high aspect ratio features. One solution is the pursuit of atomic layer etching through reversal of the atomic layer deposition scheme and generation of volatile metal-organic species reminiscent of ALD precursors. Due to the etch-resistant nature of the materials studied, removal at an atomic level is enabled by chemical modification of the surface through plasma exposure and subsequent introduction of organic ligands.

Selected single element Co and Fe films as well as the magnetic metal alloy CoFeB (30nm) were studied using this scheme. Organic chemistries, such as acetylacetone (acac) and hexafluoroacetylacetone (hfac) were first investigated to determine the feasibility of metal-organic formation through direct exposure. The efficacy of acetylacetone and hexafluoroacetylacetone etching chemistries were confirmed through previous solution-based studies on Co and Fe, respectively, via formation of Co(acac)2 (257 amu) and Fe(hfac)3 (680 amu) as confirmed through mass spectrometry. Use of these organics was extended to the boron-doped alloy in the form mixtures with volumetric ratios of 1:3, 1:1, and 3:1 (acac:hfac). Co30Fe45B25 was shown to etch at rates up to 15 nm/min in the 1:1 solution and ~1 nm/min at an organic mixture partial pressure of 60 Torr. The composition of the film as well as its metallic nature were preserved as seen by x-ray photoelectron spectroscopy (XPS) through the detection of Co and Fe metallic peaks present at 778.2 and 706.7 eV, respectively.

Chemical modification of the surface was then investigated as a means of controlling the amount of material removed and determining effects on material properties under various process conditions. XPS analysis of Co and Fe films processed under O2 plasma show increasing thickness of CoO and Fe2O3 up to 3.7nm and 4.6nm, respectively after 5 min exposure. Magnetic properties of both single element and alloyed films were characterized using superconducting quantum interference device magnetometry (SQUID) and displayed degraded magnetic properties through increasing coercivity with increasing oxidation time.

11:40 AM PS+TF-WeM-12 Conformality of Thermal Al2O3 Atomic Layer Etching in High Aspect Ratio Structures
Amy Marquardt, Huaxing Sun (University of Colorado Boulder); Steven M. George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) is the reverse of atomic layer deposition (ALD). Conformal deposition in high aspect ratio structures is one of the key features of ALD. The conformality of etching in high aspect ratio structures will also be important for thermal ALE. In this study, the conformality of thermal Al2O3 ALE was investigated in channels with high aspect ratios ranging from 60 to 200. Al2O3 ALD was used to deposit the initial Al2O3 films in the channels. The Al2O3 ALE was performed at 300°C using HF and Al(CH3)3 as the reactants. HF is known to fluorinate Al2O3 and form an AlF3 layer on the Al2O3 surface. The Al(CH3)3 then undergoes a ligand-exchange transmetalation reaction with the AlF3 layer. Al(CH3)3 accepts fluorine and donates methyl ligands to the surface. This ligand-exchange allows the Al in the AlF3 layer to leave as a volatile reaction product such as AlF(CH3)2 or Al(CH3)3. The conformality of Al2O3 etching was examined in high aspect ratio channels defined by stainless steel foil spacers between silicon substrates. Spectroscopic ellipsometry was used to measure the Al2O3 film thickness in the channels. Increasing the aspect ratio increased the reactant exposure and purge times necessary to maintain conformal etching. Longer times were required to allow the reactants and products to diffuse in and out of the high aspect ratio channels. Increasing the reactant pressures also lowered the required reactant exposure times. However, increasing the reactant pressures from 0.1 to 9 Torr also increased the Al2O3 etching rate. The higher etching rates were attributed to a thicker AlF3 layer formed at higher reactant partial pressures. Using longer reactant exposure or purge times or higher reactant pressures, conformal Al2O3 etching was obtained in the high aspect ratio channels.

12:00 PM PS+TF-WeM-13 Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting HF and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas
Nicholas Johnon, Huaxing Sun, Kashish Sharma, Steven M. George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) has been recently demonstrated for a variety of oxides such as Al2O3, HfO2 and ZrO2 using sequential, self-limiting fluorination and ligand-exchange reactions. In this work, the thermal ALE of aluminum nitride, a III-V metal nitride, was performed for the first time. Crystalline aluminum nitride (AlN) films were etched using hydrogen fluoride (HF) and tin(II) acetylacetonate (Sn(acac)2) as the reactants. The AlN films were in the crystalline wurtzite phase with the (0001) plane parallel to the surface. Film thicknesses were monitored versus number of ALE reaction cycles at 275°C using in situ spectroscopic ellipsometry (SE). A low etch rate of 0.07 Å/cycle was measured during etching of the first 40 Å of the film. These small etch rates corresponded with the AlOxNy layer on the AlN film. The etch rate then increased to 0.36 Å/cycle for the AlN films. In situ SE experiments established the HF and Sn(acac)2 exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN and produces an AlF3 layer on the surface. The metal precursor, Sn(acac)2, then accepts fluorine from the AlF3 layer and transfers an acac ligand to the AlF3 layer in a ligand-exchange reaction. The volatile etch products are SnF(acac) and either Al(acac)3 or AlF(acac)2. Adding a H2 or Ar plasma exposure to the reaction sequence enhanced the etching rates. A H2 or Ar plasma exposure after the Sn(acac)2 exposure increased the AlN etch rate from 0.36 Å/cycle to 1.96 Å/cycle or 0.9 Å/cycle, respectively, at 275°C. The enhanced etch rates are believed to result from either H radicals or photons from the H2 plasma or ions or photons from the Ar plasma. The H radicals may be able to remove acac surface species that may limit the etch rate. The photons or ions may also lead to the desorption of surface species or substrate excitation that enhances the etch rate.

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule