AVS2016 Session PS2-ThM: Plasma Processing of Challenging Materials

Thursday, November 10, 2016 8:00 AM in Room 104B

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
8:00 AM PS2-ThM-1 Epitaxy of Doped Diamond for Electronics and Energy Applications Using Microwave Plasma CVD
Robert Nemanich, Franz Koeck (Arizona State University)

Diamond has been considered as the ultimate power semiconductor because of its wide bandgap, high electron and hole mobilities, low dielectric constant and highest thermal conductivity. Recent availability of CVD diamond plates with defect densities less than 1E5 cm-2 has presented the opportunity to fabricate and characterize diamond devices. While p-type doping with boron has been known for a number of years, n-type doping during CVD growth has recently been achieved using phosphorus as the dopant. The early studies established that phosphorus can be incorporated for growth on (111) surfaces, but the incorporation is much less efficient for growth on (100) surfaces. This report describes microwave plasma CVD approaches for P-doping on both 100 and 111 surfaces while maintaining high quality epitaxy. For the (100) surfaces a pulsed growth approach is presented which results in a P-incorporation density greater than 1E18 cm-3. For growth on (111) surfaces incorporations rates approaching 1E20 cm-3 have been obtained. Using these growth approaches pin diodes have been prepared on both (111) and (100) substrates. Diodes on (100) surfaces with breakdown voltages greater than 600V have been prepared and characterized. These diodes show high forward current densities of greater than 100 A/cm2 at 5V. The diodes prepared on (111) substrates show a turn-on between 4 and 5 V indicating bipolar characteristics. An approach for fabricating pnp bipolar junction transistors is described. Simulation results indicate operation at high voltage and high power with a gain that could approach 100. The use of P-doped layers for thermionic emitters and thermionic energy conversion is also described. The P-doped diamond layers show a workfunction less than 0.8 eV which could enable a leap in thermionic energy conversion efficiency.

This research supported by ARPA-E through the SWITCHES program and by the Office of Naval Research.

8:40 AM PS2-ThM-3 Magnetic Degradation of Perpendicular CoFeB Film caused by Hydrogen Plasma
Masaki Yamada (Hitachi High-Technologies Corporation, Japan); Makoto Satake (Hitachi High-Technologies Corporation)

The RIE etching of p-MTJ (Perpendicular Magnetic Tunnel Junction) device is one of big issues to realize the high density STT-MRAM (Spin Transfer Torque Magnetoresistive Random Access Memory). In the view point of high etching selectivity or corrosion-less, Ar/CH3OH or CO/NH3 is generally used in MTJ etching. On the other hand, according to recently report, the hydrogen plasma in etching gas may cause the electrical degradation [1]. In this study, we investigate the influence of hydrogen plasma on magnetic properties by using thin CoFeB blanket film.

The stacked film structure is Ta(tTa) / MgO (2.0nm) / CoFeB(tCoFeB) / Ta(5nm) / substrate, which were deposited on Si substrate by UHV RF-sputtering apparatus. In this study, CoFeB film thickness tCoFeB were varied from 1.0 nm to 2.0 nm. Then they were annealed at 300 degC for 1 hour with μ0H = 0.6 mT. After that, the hydrogen plasma was irradiated to the film for 5 min through Ta capping layer by using Inductively Coupled Plasma etcher. The magnetization of blanket CoFeB films were evaluated by using Vibration Sampling Magnetometer.

At first we evaluate the CoFeB film thickness dependence of magnetic anisotropy. Without plasma irradiation, the magnetization of CoFeB film shows a perfect perpendicular anisotropy at tCoFeB = 1.2 nm and its coercivity μ0Hc is obtained as μ0Hc = 0.8 mT. On the other hand, when the hydrogen plasma is irradiated to stacked film, the coercivity decreases down to μ0Hc = 0.2 mT. And also its effective perpendicular anisotropy field μ0Hk also decreases from μ 0Hk = 330 mT to μ0Hk = 220 mT with hydrogen plasma irradiation. In the case of tCoFeB = 1.4 nm, the magnetization shows weak perpendicular anisotropy before plasma irradiation. In this situation, the magnetization drastically changes from the weak perpendicular to in-plane anisotropy by hydrogen plasma irradiation. These results imply that the interfacial perpendicular anisotropy energy decreases by hydrogen plasma irradiation. Next we evaluate capping layer thickness tTa dependence of magnetic anisotropy. In the case of tTa < 20 nm, the coercivity of CoFeB film increases with increasing Ta capping film thickness with hydrogen plasma irradiation. And it shows approximately constant value at tTa > 50 nm even with hydrogen plasma irradiation. This behavior is well described by the hydrogen plasma diffusion model in Ta capping layer, which is calculated by Monte Carlo simulation. From present study, we found that hydrogen plasma may attack to MgO layer chemically and it may deteriorate the perpendicular magnetization of CoFeB.

[1] J. H. Joeng, et al., J. Appl. Phys., vol.115, 17C727 (2014)

9:00 AM PS2-ThM-4 Roughness and Selectivity Trade Off during Patterning using Next Generation Resist
Vinayak Rastogi, Alok Ranjan (TEL Technology Center, America, LLC)

Optical lithography has reached its physical limit and eventual capacity to extend validity of Moore’s law. Augmentation of 193i with multiple patterning, Extreme Ultraviolet Lithography and Directed Self Assembly are viable contenders to enable scaling for future technology nodes. However each patterning technique comes with common challenges of ‘high initial pattern roughness’ and ‘etch resistance’, the correction/compensation of which becomes more critical as we work on smaller dimension features. Plasma Etch processes have the potential to improvise upon the incoming pattern roughness and improve LER/LWR downstream with enhanced selectivity to thinner resist for expediting sub 10nm technology development.

In this work we demonstrate the specific role of passivation control in the dual-frequency Capacitively Coupled Plasma (CCP) with thin ( EUV ) resist patterning as an example process to improve LER/LWR, resist selectivity and CD tunability for line/space patterns. We will draw the implicit trends between different passivation chemistry and their effectiveness for roughness improvement. The effect of relative C:F and C:H ratio in feed gas on CFx and CHx plasma species and in turn the evolution of pattern roughness is drawn. Data that evinces the role of plasma etch parameters impacting the key patterning metrics of CD, resist selectivity and LER/LWR will be presented.

9:20 AM PS2-ThM-5 Fabrication of Large Superhydrophobic Surfaces with Hierarchical Structures on Polymer Films – Influence of the Roughening and the Fluorination
Jérôme Durret, Nathalie Frolet, Cécile Gourgon (CNRS - LTM, France)

Superhydrophobic (SH) surfaces exhibit many useful characteristics for various industrial applications [1]. Many strategies have been put forward [2] on small surfaces including efficient plasma treatment [3]. It is of great interest to create large and flexible SH surfaces, making polymer films a promising solution. In this work, a two-step method for producing SH large and flexible surfaces from hydrophobic or hydrophilic polymer film materials is described.

Hierarchically structured SH surfaces were fabricated using NIL for submicro scale structuration and plasma treatment for nanoscale structuration. Hydrophobic (FEP) and hydrophilic (PMMA) polymer film materials were used. The roughening of nanoimprinted films by plasma treatment with Ar/CF4 gas flow is reported in a capacitive coupled parallel reactor. Water contact angles (WCA) greater than 160° and contact angle hysteresis (CAH) less than 1° have been measured for a plasma treatment of only 10s. The effect of the input power (600 to 1800 W) has been investigated in terms of roughening and fluorine percentage (%F), see Fig. 1, and Fig. 2 for XPS spectra. This representation enables us to discriminate the influence of the roughness and %F. Indeed, from 800 W plasma treatment, and despite variations of the %F, the wettability remains unchanged due to a sufficient roughness. Fig. 3 shows corresponding SEM images. Additionally, Fig. 4 shows that during plasma treatment, PMMA becomes first hydrophobic as the fluorination increases (from 0 to 39%) and finally superhydrophobic with the increasing roughness.

A modification of the dot dimensions during the plasma treatment is observed as can be seen in Fig. 5. Moreover, fracture defects still remain when fabricating high aspect ratio patterns by NIL [4]. We propose to overpass this limitation by using NIL patterning only as a preliminary step to define the dot diameter. Then the desired height can be achieve by a plasma transfer. Promising results were obtained on rough dots, see Fig. 6.

In the prospect of an industrial application, all process were developed on large areas (50 in² or 320 cm²). Thus, large, flexible and transparent SH films were obtained. Finally, thanks to the combination of NIL and plasma transfer, these films may be used to fabricate high aspect ratio patterns.

This work has been partially supported by the Direction Générale de l’Armement (DGA) and the Renatech network.

[1] Nosonovsky et al. (2009). Current Opinion in Colloid & Interface Sci, 14(4), 270-280

[2] Xue et al. (2016). Sci and Tech of Adv Materials

[3] Durret et al. (2016). Microelectronic Engineering

[4] Schift (2008). Journal of Vacuum Sci & Tech B, 26(2), 458-480

9:40 AM PS2-ThM-6 Chlorine-based Etching of InP Laser : Effect of Plasma Chemistry on Sidewall Roughness and Damages
Guillaume Gay, Erwine Pargon, Camille Petit-Etienne (LTM - CEA/LETI, France); Mélisa Brihoum, Sébastien Barnola (CEA, LETI, MINATEC Campus, France); Sébastien Labau, Sandrine Arnaud (LTM - CEA/LETI, France)

Development of photonic devices on silicon could open the path to the design of new components, mixing optoelectronics and microelectronics. However, indirect band of silicon makes an all-silicon photonic device impossible. An alternative is the hybrid integration which consists in building active laser emitters with III-V materials, and other components (waveguides, filters, photodetectors) with silicon-based materials. In this study, we will focus on the dry etching of Indium-Phosphide (InP) for laser emitter fabrication reported on 200mm silicon-on-insulator wafer by molecular bonding. The major challenges related to this integration are (i) high InP etch rates necessary for micrometric high lasers, (ii) high selectivity toward silicon oxide to preserve the underneath passive components, (iii) anisotropy and (iv) smooth and undamaged surfaces. Plasma etching experiments are carried out in an inductively coupled plasma reactor from applied materials equipped with a hot cathode. In order to achieve these objectives, two plasma chemistries were developed: Cl2/CH4/Ar and Cl2/N2. They will be compared in terms of profile, roughness, surface chemical composition, and a particular attention will be paid on the chemical and physical damages induced on the pattern sidewalls. The pattern profiles are characterized by electron microscopies. The sidewalls roughness is measured by AFM using a homemade setup where the sample is tilted to allow the tip to scan the sidewalls. The sidewalls chemical composition and stoichiometry after etching is analyzed by EDX. In Cl2/CH4/Ar, the process performance is mainly driven by the CH4 flow. The anisotropy is ensured by the redeposition of SiOxCy byproducts, coming from the SiO2 wafer, on the InP sidewalls. A compromise has been found to ensure anisotropy and sufficient selectivity of InP over the SOI substrate. Sidewall roughness on InP patterns is very close to the one measured on the mask sidewalls before etching, thus proving that this etching process does not produce supplementary roughness. Concerning Cl2/N2 plasma, etching selectivity is high and leads to highly anisotropic profile. In that case, the sidewall passivation layer is formed by the preferential etching of indium by chlorine, leading to a phosphorus-rich layer. The counterpart is that this P-rich layer also forms on the open-area and is responsible for roughness formation. Afterwards, we will also consider different strategies to remove these passivation layers so as to obtain clean InP ribbons sidewalls suitable for laser emitter fabrication.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS2-ThM-10 Using a Dielectric Barrier Discharge (DBD) Device to Produce Proton Exchange Membranes at Atmospheric Pressure for PEMFC Technology
Joffrey Baneton, Delphine Merche (Université Libre de Bruxelles, Belgium); Giuseppe Caldarella, Nathalie Job (Université de Liège, Belgium); François Reniers (Université Libre de Bruxelles, Belgium)

The polymer electrolyte membrane is one of the most important components of proton exchange membrane fuel cells (PEMFC) because it transports the ions from one side of the cell to the other one while it prevents the passage of the electrons and then the offsetting of the accumulated charges at each electrode. It is also important for device structure and gas permeability considerations [1]. Over the years, several methods have been developed to replace conventional techniques that involve many steps and the use of solvents and expensive reagents. Some studies exhibit an interest for low-pressure plasma devices to produce sulfonated polystyrene membranes [2]. In this work, we propose an innovative approach using an atmospheric-pressure dielectric barrier discharge (DBD) with styrene as carbon matrix reagent and acid precursors (such as trifluoromethanesulfonic acid) to integrate proton exchange groups. Using this atmospheric plasma device allows to produce membranes in a ‘one-step’ process, avoiding solvents and vacuum constraints [3]. X-ray photoelectron spectroscopy (XPS) and infra-red reflection absorption spectroscopy (IRRAS) are used to determine the chemical composition of the membranes. Stylus profilometry and scanning electron microscopy (SEM) are applied to analyze their morphology. Electrochemical measurements are also performed to determine the membrane proton conductivity.

In the case of pure polystyrene films, it is shown that the plasma leads to the polymerization of the monomer without altering their chemical structure. Moreover, the optimization of the reactor geometry and the experimental variables such as the flow rate, the injected discharge power, the precursor temperature or the duty cycle (in the case of pulsed plasma) can lead to the formation of homogeneous and uncontaminated films. In the case of copolymerized membranes using an acid precursor, a high content of fragmented and distributed proton exchange groups can be observed on the XPS and IRRAS spectra.

This work was financially supported by the Walloon Region (HYLIFE project n°1410135, Energinsere program) and by the Belgian Federal Government (Interuniversity Attraction Belgian Science Policy IAP research project P7/34 – Physical Chemistry of plasma surface interactions).

[1] J. Larminie and A. Dicks. Fuel Cell Systems Explained (Second Edition), John Wiley & Sons Ltd, UK, 2003, 67–72.

[2] S. Roualdes et al. Jounal of Power Sources, 158 (2006), 1270–1281.

[3] D. Merche et al. Plasma Processes and Polymers, 7 (2010), 836–845.

11:20 AM PS2-ThM-11 Laser-Enhanced Plasma Etching of Semiconductors and Metals
Jason Peck, Gianluca Panici, Ivan Shchelkanov, Sabrina Hammouti, David Ruzic (University of Illinois at Urbana-Champaign)

Dry etch assisted by laser (DEAL) of silicon and copper via Ar/C4F8/O2, Ar/SF6, and Ar/CCl4 capacitively-coupled plasma was studied, with goals including form control for sub-22 nm features and uniformity for 450 mm wafer processes. The first phase of the study consisted of wavelength (1064, 532, 266 nm) investigation, variation of gas chemistry, and laser intensity ramping. Multiple lasers were employed to vary repetition rate, from CW, 100 Hz, or 100 kHz, as well as varied pulse width, 350 fs to 7 ns, to understand instantaneous laser power against gas dynamics timescales.

The second phase of the study explored multiple material candidates, focusing particularly on metal etch. The etch rate enhancements were determined in the case of Si and Cu etch. Etch activation in zero-etch recipes was achieved upon introduction of 532/266 nm wavelength in the case of silicon and 1064 nm in the case of copper. In particular, Cu etch was demonstrated at substrate temperatures (40-70°C) far below the required temperature to produce a volatile etch product. Scalability and the ease of incorporating this technique into industry processes will be discussed.

11:40 AM PS2-ThM-12 Highly Selective Isotropic Etching of Silicon in Preference to Germanium
Christopher Ahles, Andrew Kummel (University of California, San Diego)

As CMOS technology is scaled down to <10nm, new MOSFET architectures are required in order to maintain control over the device. The optimal design for such a device is the gate-all-around (GAA) architecture. Whereas in previous CMOS generations the MOSFETs were planar structures, GAA structures require highly selective isotropic etching for device fabrication. Previous isotropic gas phase selective etching of silicon employed sulfur passivation of Ge which can dope silicon, corrode process equipment, and cause ion mobility in dielectrics. In this report a sulfur-free isotropic selective etch is reported which has essentially infinite Si/Ge etch rate ratio (ERR) using in a downstream plasma. The etch rates of Si and Ge were simultaneously measured in-situ using a reactor chamber equipped with dual quartz crystal microbalances (QCMs). The gold-coated quartz crystals were sputter-coated with Si and Ge. After in-situ removal of the surface oxides with a downstream NF3/H2 plasma, the Si and Ge films were dosed with gas from a downstream plasma of H2, CF4 and Ar. It was found that a high Si/Ge ERR can be obtained over a wide range of H2/CF4 gas flow ratios, QCM temperatures, chamber pressure and plasma power. For the optimal process window, there is an etch rate >1nm/min for Si and deposition of carbon onto Ge. The nature of the passivation layer is being investigated via XPS as well as isotopic labeling in conjuction with secondary ion mass spectrometry (SIMS) studies. It is hypothesized that the high selectivity occurs due to the occupied Ge d-orbitals backbonding with an unsaturated carbon ligand, such as a CF2 carbene. This backbonding promotes the polymerization of a carbonaceous film on the Ge surface and thereby passivates the Ge against etching. Since Si does not possess occupied d-orbitals it is unable to promote the polymerization of a passivation layer as efficiently as Ge.

12:00 PM PS2-ThM-13 Thermodynamic Prediction and Experimental Verification of Etch Selectivity for EUV Mask Materials
Luke Minardi, Nicholas Altieri, Ernest Chen, Jane P. Chang (University of California Los Angeles)

Extreme ultraviolet (EUV) lithography is a promising candidate to replace optical lithography and extend Moore’s Law. EUV lithography requires reflective optics due to the strong absorption of EUV light by most materials. The exposed wafer area is defined by the absorbing and reflecting regions of the EUV mask. The absorber stack in the EUV mask consists of 2-10 nm TaON antireflective coating (ARC) and 50-60 nm TaN bulk absorber. The final EUV mask must have a ±1 nm absorber thickness uniformity and a mean-to-target critical dimension of 2.0 nm1,2. The etch process to pattern the EUV mask must be highly selective and anisotropic to meet the stringent requirements on mask dimension.

In this work, a generalized thermodynamic approach was used to screen viable etchants and predict selectivity. Gibbs energy minimization (GEM) was used to screen a library of halide containing etchants for efficacy on Ta-based compounds. Using GEM, Cl-based etchants indicated a high selectivity of Ta to Ta2O5. For example, at 350 K and 10-5 atm, 1 kmol of Ta(s) in the presence of 33 kmol of Cl2 forms 1 kmol of TaCl5(g) while 0.5 kmol Ta2O5(s) remains unreacted in 33 kmol of Cl2. Volatility diagrams were constructed for the Cl2-Ta and Cl2-Ta2O5 systems to compare etch product volatility at specified Cl2 pressure. For the Cl2-Ta system at a chlorine pressure of log(PCl2)=-5 atm, it is predicted that TaCl5(s) is in equilibrium with TaCl5(g) at a partial pressure of log(PTaCl5)=-6 atm. For the Cl2-Ta2O5 system at a chlorine pressure of log(PCl2)=-5 atm, it is predicted that Ta2O5(s) is in equilibrium with TaCl5(g) at a partial pressure of log(PTaCl5)=-40 atm. Using GEM and volatility diagrams it was predicted the etch rate of Ta(s) >> Ta2O5(s) in a Cl2 environment. Selectivity predictions have been tested and verified experimentally through etch rate experiments using an inductively coupled plasma etcher. Experiments conducted at 250 W power, 10 mTorr, and 20 sccm Cl2 determined selectivity to be 360 and 68 at bias powers of 0W and 10W, respectively. Although plasma processing is inherently non-equilibrium, thermodynamic prediction of product volatility is a powerful tool indicating trends in etch rate.

References:

1. B. Wu and A. Kumar, J. Vac. Sci. Technol. B 25 (6), 1743-1761 (2007)

2. J. Mathuni, J. Rau, F.-M. Kamm, G. Ruhl, Ch. Holfeld, F. Letzkus, C. Kopernik, and J. Butschke, Proc. SPIE Vol. 5504, 105-110 (2004)

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2016 Schedule