AVS2016 Session SS+AS+EM-WeA: Semiconductor Surfaces and Interfaces

Wednesday, November 9, 2016 2:20 PM in Room 104D

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic SS Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
2:20 PM SS+AS+EM-WeA-1 Adsorption of Triethylenediamine on Si(100)-2×1 Surface via N-Si Dative Bonding and C-N Dissociation
Jing Zhao, Mark Madachik (University of Delaware); Kane O’Donnell (Curtin University, Australia); Oliver Warschkow (University of Sydney, Australia); Lars Thomsen (Australian Synchrotron, Australia); Gareth Moore, Steven Schofield (University College London); Andrew Teplyakov (University of Delaware)

The functionalization of silicon surfaces with thin layers of organic materials is an important area of studies with current and potential applications in microelectronics, catalysis, and bio-sensing. Triethylenediamine (also known as 1,4-diazabicyclo[2.2.2]octane, or DABCO) presents an interesting case study for silicon functionalization because of its symmetric structure with two x nitrogen atoms in tertiary amine configuration. Each of these atoms could potentially form a dative bond with a clean Si(100)-2x1 surface while the other may remain accessible for further modification. We applied infrared spectroscopy (MIR-FTIR), X-ray photoelectron spectroscopy (XPS), and temperature programmed desorption (TPD) supported by density functional theory calculations (DFT) to investigate the reaction mechanism of triethylendiamine with a clean Si(100)-2×1 surface, focusing specifically on dative bond formation and C-N dissociation.

2:40 PM SS+AS+EM-WeA-2 Chemoselective Adsorption of Functionalized Cyclooctynes on Silicon
Marcel Reutzel, Niels Munster, MarcusA. Lipponer (Philipps-Universität Marburg, Germany); Christian Langer (Justus Liebig University Giessen, Germany); Ulrich Hofer, Ulrich Koert (Philipps-Universität Marburg, Germany); Michael Durr (Justus Liebig University Giessen, Germany)
The adsorption of organic molecules on silicon surfaces has been subject of intense research due to the potential applications of organic functionalization of silicon surfaces in semiconductor technology. The high reactivity of the silicon dangling bonds, however, presents a major hindrance for the first basic reaction step of such a functionalization, i.e., chemoselective attachment of bifunctional organic molecules on the pristine silicon surface. Due to the high reactivity of the dangling bonds, each functional group of a bifunctional molecule adsorbs with an initial sticking coefficient close to unity and thus the final adsorption product will typically consist of a mixture of molecules adsorbed via different functional groups.

We overcome this problem employing cyclooctyne as the major building block of our strategy. Using scanning tunneling microscopy and X-ray photoelectron spectroscopy, cyclooctyne derivatives with different functional side groups are shown to react on Si(001) selectively via the strained cyclooctyne triple bond while leaving the side groups intact. The origin of this chemoselectivity is traced back to the different adsorption dynamics of the functional groups involved. We show that cyclooctyne's strained triple bond is associated with a direct adsorption channel on the Si(001) surface, in contrast to most other organic molecules which adsorb via weakly bound intermediates. In these intermediate states, the molecules have a finite lifetime and are often mobile and free to rotate on the surface. This allows the bifunctional molecule to sample the surface with the strained triple bond during its finite lifetime in the trapped state and in consequence, bifunctional molecules with a strained triple bond as one functional group will end up with this group attached to Si(001) even if the initial interaction proceeds via the second functional group.

Chemoselectivity can thus be achieved even on the highly reactive Si(001) surface when exploiting the adsorption dynamics of the respective reaction channels.

3:00 PM SS+AS+EM-WeA-3 Compositions, Structures, and Electronic Properties of Grain Boundaries of Cu(InGa)Se2
Xudong Xiao (Chinese University of Hong Kong)

Polycrystalline semiconductors are important energy materials and the grain boundaries play crucial role in their electrical transport property. While in general grain boundary is detrimental, for Cu(InGa)Se2 (CIGS), it was found that the grain boundary is benign to the electrical transport and a record solar cell energy conversion efficiency of 22.3%, the best among all thin film solar cells, has been achieved with a polycrystalline film. This peculiar benign behavior has attracted great attention in the materials science community, unfortunately, even with tremendous effort, the mechanism of the benignity of CIGS grain boundary remains as an outstanding problem, mostly due to the lack of convincing experimental evidences.

We performed our study by design and prepare well controlled CIGS samples with two different Cu content. By careful treatment of the samples to remove artifacts, we used a combination of techniques, namely AFM, STM, and TEM, to probe at nanoscales the composition, structure, and electrical properties of the individual grain boundary in direct comparison to those of the individual grain interior. We discovered that the grain boundary in fact consists of a boundary layer of finite thickness in addition to the grain boundary surface/interface for the non Σ3 grain boundaries. This boundary layer has a definitive composition, structure, and electronic band, independent of the overall Cu content in the CIGS films. The observation of similar grain interior and similar grain boundary except the boundary layer thickness for the two samples with very different overall Cu content is indeed a surprising finding that has never been reported before. The band alignment between grain boundary and grain interior was discovered to be of type II with downward offset for both conduction and valence bands at grain boundary, well correlating to the local copper deficiency and structure. Our findings expressively support the type inversion and large hole barrier in this grain boundary layer, and establish a comprehensive mechanism for the suppression of carrier recombination therein.

3:40 PM BREAK
4:20 PM SS+AS+EM-WeA-7 Thermal Self-limiting CVD Silicon and ALD Silicon Nitride Containing Control Layers on In0.53Ga0.47As(001)-(2x4), Si0.5Ge0.5(110), and Si0.7Ge0.3(001)
Steven Wolf, Mary Edmonds, Tyler Kent, Kasra Sardashti (University of California at San Diego); Mei Chang, Jessica Kachian (Applied Materials); Ravi Droopad (Texas State University); Evgueni Chagarov, Andrew Kummel (University of California at San Diego)

Compound semiconductors with high mobilities such as InGaAs and SiGe are being employed in metal oxide semiconductor field effect transistors (MOSFETs) to increase transistor performance. However, these surfaces contain dangling bonds that can affect the surface Fermi level; thus, depositing a control layer via ALD or self-limiting CVD on multiple materials and crystallographic faces is required . Silicon uniquely bonds strongly to all crystallographic faces of InGa1-xAs, InxGa1-xSb, InxGa1-xN, SiGe, and Ge enabling transfer of substrate dangling bonds to silicon, which can then be passivated by atomic hydrogen. Subsequently, the surface may be functionalized with an oxidant such as HOOH in order to create a terminating Si-OH layer, or a nitriding agent such as N2H4 in order to create an Si-Nx diffusion barrier and surface protection layer. This study focuses on depositing saturated Si-Hx and Si-OH seed layers via a self-limiting CVD process on InGaAs(001)-(2x4), and depositing a Si-Nx seed layer on Si0.5Ge0.5(110) and Si0.7Ge0.3(001) via an ALD process. XPS in combination with STS/STM were employed to characterize the electrical and surface properties of these control layers on the various surfaces. A thin Si-Hx capping layer (2.5 monolayers) was deposited in a self-limiting CVD fashion on InGaAs(001)-(2x4) by exposing to Si2Cl6 at 350°C. This layer allows for multilayer silicon or Si-Ox growth by ALD through cyclically dosing Si2Cl6 with either atomic H or anhydrous HOOH. STM and STS measurements show the Si2Cl6 exposed InGaAs(001)-(2x4) surface is atomically locally ordered and has an unpinned surface Fermi level. Exposure to anhydrous HOOH at 350°C terminates the surface with Si-O bonds and does not lead to oxidation of substrate peaks. The HOOH treated surface then nucleates TMA at 250°C and ultimately further high-k gate oxide growth. MOSCAP device fabrication was performed on n-type InGaAs(001) substrates with and without a Si-Hx passivation control layer deposited by self-limiting CVD in order to determine the effects on Cmax, frequency dispersion, and midgap trap states. Deposition of a SiOxNy diffusion barrier and surface protection layer was achieved on the Si0.5Ge0.5(110) and Si0.7Ge0.3(001) surfaces via an ALD process at 275°C through cyclically dosing Si2Cl6 and anhydrous N2H4. MOSCAP device fabrication was performed on Si0.7Ge0.3(001) with and without a SiOxNy passivation control layer to compare device performance. Ultimately, the Si-Hx passivation layer gave less frequency dispersion at flat band and a lower Dit, and the SiOxNy passivation layer yielded lower gate leakage and Dit when compared to the respective wet clean only devices.

4:40 PM SS+AS+EM-WeA-8 Formation of Atomically Ordered and Chemically Selective Si-O-Ti Monolayer on Si0.5Ge0.5(110) for a MIS Structure via H2O2(g) Functionalization
SangWook Park, JongYoun Choi (University of California, San Diego); Evgueni Chagarov (Univeristy of California, San Diego); Bhagawan Sahu, Shariq Siddiqui (GLOBALFOUNDRIES); Naomi Yoshida, Jessica Kachian (Applied Materials); Andrew Kummel (University of California, San Diego)

To overcome challenges when scaling down silicon-based complementary metal-oxide semiconductor (CMOS) devices, SiGe has received much attention due to its high carrier mobility and applications in strain engineering. Extremely thin oxides with appropriate band offsets can be utilized to form unpinned contacts on SiGe for a metal-insulator-semiconductor (MIS) structure. The TiO2 interfacial layer on Ge is known to form a MIS structure which reduces the tunneling resistance due to the nearly zero conduction band offset (CBO) between TiO2 and Ge. In this study, formation of TiOx monolayer on SiGe(110) via H2O2(g) functionalization was investigated using in-situ scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and x-ray photoelectron spectroscopy (XPS). H2O2(g) was employed instead of the conventional H2O(g) oxidant since H2O2(g) can form a uniform monolayer of –OH ligands on the surface without subsurface oxidation which should be ideal for forming the most stable possible interface which is a layer of Si-O-Ti bonds. STM verified that clean Si0.5Ge0.5(110) surfaces were terminated with both Si and Ge adatoms. STS measurements indicated that the Fermi level of clean Si0.5Ge0.5(110) surfaces was pinned near midgap between the valence and conduction band edges due to the half-filled dangling bonds of the adatoms. In order to passivate the dangling bonds, atomic H was dosed onto clean Si0.5Ge0.5(110) at 300°C which unpinned the Fermi level as demonstrated by STS. XPS analysis showed a saturation dose of H2O2(g) at 25°C left the Si0.5Ge0.5(110) surfaces terminated with a monolayer of both Ge-OH and Si-OH sites. STS indicated that the Fermi level on H2O2(g) dosed Si0.5Ge0.5(110) was shifted to near the valence band edge due to the formation of surface dipoles induced by hydroxyl bonds. Tetrakis(dimethylamido)titanium (TDMAT) or titanium tetrachloride (TiCl4) was subsequently dosed onto hydroxyl-terminated Si0.5Ge0.5(110) at 25°C forming Ti bonds on surface. Both TDMAT and TiCl4 dosed Si0.5Ge0.5(110) surfaces were annealed at 300°C and XPS verified that the Ti-O bonds were totally transferred from Ge atoms to Si atoms forming exclusively Ti-O-Si bonds on Si0.5Ge0.5(110) surfaces consistent with the strong bonding between Si and oxygen pulling Si atoms toward the surface to bond with oxygen while pushing Ge atoms into the subsurface during the annealing. STM demonstrated an ordered TiOx monolayer was formed with a row spacing which doubles the spacing of adatoms on clean Si0.5Ge0.5(110). In addition, STS indicated a TiOx monolayer on SiGe(110) was unpinned and therefore can serve as an ultra-thin insulating layer for a MIS structure.

5:00 PM SS+AS+EM-WeA-9 The Effect of Ultrasonic Treatment (UST) on the Defect Structure of the Si–SiO2 System
Daniel Kropman, Tony Laas (Tallinn University, Estonia)
The effect of ultrasonic treatment (UST) on the defect structure of the Si–SiO2 system by means of electron spin resonance(ESR),selective etching, MOS capacitance technique and secondary ions mass-spectroscopy is presented. The non-monotonous dependence of the defect densities on the US wave intensity has been observed. The influence of the UST frequency on the ESR signal intensity of the defect centres depended on the defects type and may be caused by vibration energy dissipation, which are a function of defect centres type. In the ESR spectra of Si samples a signal with g=1.9996 (Pa centres) connected with vacancy complexes is observed. After UST appears another signal with g=2.0055 (broken bonds of Si atoms). The influence of the US frequency and sample orientation on the ESR signal intensity varies for different centres. The frequency and orientation dependence of the ESR signal with g=l.9996 and the lack of this dependence for the centres with g=2.0055 show that vibration energy dissipation depends on the type of defect centers.Defect density at the interface grows with an increase of US wave intencity or changes nonmonotonously depending on the oxide thickness and crystallographic orientation. In the samples with thick oxide/0,6 mkm there is a maximum in the dependence of the charge carriers lifetime on the US wave amplitude and in the samples with thin oxides /0,3 mkm/ there is a minimum. This shows that the structural defects form electrically active centres and their density can be varied by US. The density of point defects and absorbed impurities at the Si–SiO2 interface can be reduced and its electrical parameters improved by an appropriate choice of the UST and oxidation condition. US is widely used not only for materials treatment but in medicine as well (cancer treatment).

References:

[1]D.Kropman,V.Poll,L.Tambek,T.Karner,U.Abru.Ultrasonics 36(1998)10211025

[2]D.Kropman,S.Dolgov.Physica satatus Solidi (c) v.9,issue 10-11,pp.2173-2176,2012.

5:20 PM SS+AS+EM-WeA-10 Adsorption of C60 Buckminster Fullerenes on a Carbon-free Hydrazine-modified Silicon Surface
Fei Gao, Andrew Teplyakov (University of Delaware)

Buckminster fullerene C60 was used as a model to understand the attachment chemistry of large molecules on amine-terminated semiconductor surfaces. The resulting interface may serve as a foundation for devices in such fields as solar energy conversion, biosensing, catalysis, and molecular electronics. In this work, a monolayer of buckminster fullerenes C60 was covalently attached to silicon surfaces using an efficient wet chemistry method. The starting chlorine-terminated Si(111) surface was initially modified with hydrazine to produce NH-NH functionality. Then the C60 fullerenes were reacted directly with this surface. The chemical state and surface topography of the C60-modified surface were characterized by surface analytical spectroscopic and microscopic methods, including X-ray photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectrometry (TOF-SIMS), and atomic-force microscopy (AFM). The experimental results were also supported by computational investigation, density functional theory (DFT) calculations, that were performed to predict core-level energies of surface species formed and to propose the possible mechanism of surface reactions.

5:40 PM SS+AS+EM-WeA-11 Passivation of SiGe Surfaces with Aqueous Ammonium Sulfide
Stacy Heslop, Anthony Muscat (University of Arizona)

Ge and SiGe are promising materials for future p-type metal-oxide semiconductor field effect transistors (MOSFETs) due to their higher hole mobilities and narrower bandgap compared to Si. In contrast to silicon, Ge and SiGe readily oxidize in ambient air forming nonstoichiometric Ge oxides that are detrimental to the electrical performance of the device. One approach is to remove these oxides and passivate the surface. SiGe with molar ratios of 25 and 75% Ge were treated with aqueous ammonium sulfide, (NH4)2S, to deposit sulfur. The composition of the surface was measured using x-ray photoelectron spectroscopy (XPS) as a function of concentration and pH. The (NH4)2S concentration was varied from 3 mM to 3 M, and the pH was varied from 10 to 8 using HCl and HF. Film thicknesses were measured with spectroscopic ellipsometry.

A fresh SiGe starting surface was produced by immersing in SC-1 (1:1:500 v/v) to form oxides and stripping the oxides using HF:HCl:H2O (1:3:300 v/v). In the case of SiGe 25%, sulfides were not detected based on the S 2p XPS state for surfaces treated with (NH4)2S (Figure 1a). The oxygen coverage increased with increasing (NH4)2S concentration, forming primarily SiO2 and a small coverage of GeO. The surface was enriched in Si and oxidized, and there was not enough Ge atoms exposed for S to bond to. HCl and HF were added to remove the Si and Ge oxides that formed. The addition of HCl and HF resulted in the deposition of sulfides on SiGe 25% (Figure 1b). The Si/Ge peak area ratio after oxide removal was 1.7. After immersion in 30 mM (NH4)2S at a pH of 10 the surface composition was unchanged (Si/Ge=1.7). For the same (NH4)2S concentration at a pH of 8 the surface was only slightly enriched with Si (Si/Ge=2.4). Overall, (NH4)2S is not an effective passivation reagent for Si-rich SiGe surfaces due to the lack of S deposited and the undesirable oxides which form during processing. By dropping the pH to 8, less than a monolayer of S is deposited but oxides still remain. In contrast, SiGe 75% did not oxidize as a function of the (NH4)2S concentration. Sulfur was detected based on the S 2p XPS state and the S coverage was independent of (NH4)2S concentration. The sulfur thickness increased from about 2.3 Å for (NH4)2S (30 mM or 1:100 v/v) at a pH of 10 to 3.4 Å for the same (NH4)2S dilution at a pH of 8 (Figure 1c and d). These film thicknesses were approximated from XPS peak areas based on a single layer model for S on Ge. The deposition of the S layer did not affect the surface stoichiometry between oxide removal steps (Si/Ge=0.19) and 30 mM passivation (Si/Ge=0.20).

6:00 PM SS+AS+EM-WeA-12 Novel Electrical Circuit Model for the Design of InGaAs/GaAs (001) Strained-Layer-Super-Lattice
Tedi Kujofsa, John Ayers (University of Connecticut)

Understanding lattice relaxation and dislocation dynamics has important implications in the design of highly functional and reliable semiconductor device heterostructures. Strain-layer-superlattices (SLSs) have been commonly used as dislocation filters whereby threading dislocations (TDs) can be removed by the insertion of a series of mismatched interfaces. The reduction of the threading dislocation in SLSs can be explained by the bending over of TDs associated with misfit segments of one sense by misfit dislocations having the opposite sense. Furthermore, the use of multilayered metamorphic buffer layers (MBLs) with intentionally mismatched interfaces may be used to take advantage of the strain compensation mechanism.

Previously, we developed a generalized energy minimization model, which determines the equilibrium configuration of an arbitrary compositionally-graded or multilayered heterostructure. The present work focuses on the development of a novel electrical circuit model for understanding equilibrium lattice relaxation in InGaAs/GaAs (001) strained-layer-superlattice heterostructures. This work focuses on the design of the SLS buffer layer of InxGa1-xAs deposited on a GaAs (001) substrate. The SL contains a set of 10 uniform layers with alternating mismatch. In other words, the SSL contains alternating uniform layers of InxGa1-xAs with indium compositions x and x + ∆x respectively. For each structure, we present minimum energy calculations and show that for a given SLS total layer thickness hSLS, it is possible to find the combination x and ∆x such that it provides tight control of the in-plane strain of the strained-layer-superlattice. In addition, for each structure type we present minimum energy calculations by studying the (i) depth profile of strain and (ii) the misfit dislocation density profile. Most importantly, the use of the electrical circuit model allows the analysis of semiconductor heterostructures using a standard SPICE circuit simulator and provides an intuitive understanding of the relaxation process in these multilayered heterostructures.

Time Period WeA Sessions | Abstract Timeline | Topic SS Sessions | Time Periods | Topics | AVS2016 Schedule