AVS2015 Session PS+SS+TF-FrM: Atomic Layer Etching (ALE) and Low-Damage Processes II

Friday, October 23, 2015 8:20 AM in Room 210B

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
8:20 AM PS+SS+TF-FrM-1 Atomic Layer Etching of Silicon Dioxide to Enable Self-aligned Contact Integration
Harmeet Singh, Eric Hudson (Lam Research Corporation)

CMOS devices have continued to scale dimensionally following the implementation of FinFET transistors. Self-alignment of the source and drain contact to the gate has been presented as an integration solution starting at the 22nm technology node1. This self-aligned contact (SAC) integration creates additional challenges and constraints on the etch process for the 10 nm node and beyond. Due to smaller feature dimensions, lithography overlay, and full contact wrap-around of the transistor fins, unprecedented etch precision is now required.

A novel approach for SAC oxide etching has been developed which addresses the many tradeoffs of this application using a directional atomic layer etch process (ALE) as reported by Hudson et al2. Key trade-offs to enable contact etching capability of CDs as small as 10 nm include SAC spacer loss, lack of profile control, and contact not-opens. This cyclic SiO2 ALE process repeats discrete unit process steps of fluorocarbon deposition and ion bombardment to achieve high selectivity of SiO2 to Si3N4 while simultaneously addressing these tradeoffs. Oxide removal rates can be precisely controlled with minimal removal of Si3N4 films, enabling a highly selective etch process. Anisotropic, directional etch behavior superior to traditional SiO2 etch is enabled, creating vertical oxide profiles. This capability is highly desirable for SAC etch applications as it maintains the integrity of the gate electrode spacer during etch. Experimental results showing the ability of this oxide ALE process to eliminate tradeoffs is presented

[1] C. Auth, et al, “A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors,” accepted in VLSI Symp. Tech. Dig., Jun. 2012.

[2] E. Hudson, et al, “Highly Selective Atomic Layer Etching of Silicon Dioxide Using Fluorocarbons,” accepted in AVS 61st International Symp. & Exhibition, Nov. 2014.

9:00 AM PS+SS+TF-FrM-3 High Performance Self Align Contact Etching with Newly developed Quasi-ALE
Akihiro Tsuji (Tokyo Electron Miyagi Limited, Japan); Masahiro Tabata, Hikaru Watanabe, Takayuki Katsunuma (Tokyo Electron Miyagi Limited); Masanobu Honda (Tokyo Electron Miyagi Limited, Japan)

The Self-Aligned Contact (SAC) process has been widely adopted to achieve aligned narrow contacts between electrodes as the pitch shrinkage has progressed with the miniaturization of devices in high-scale integration. In SAC fabrication, it is important to achieve high selectivity of the interlayer insulator (SiO2) over an etch stop film (SiN) to improve insulation tolerance between the contact plug and the wiring. Such high-selective etch processes have been realized by depositing fluorocarbon (FC) film selectively on the SiN film by using the composition difference between SiO2 and SiN with fluorocarbon plasma, which protects SiN surface during SiO2 etch. In order to minimize SiN loss, a balance of FC film thickness and the penetration depth of the ion energy on SiN become significant [1,2]. When trying to achieve improved SiN loss reduction, reducing ion energy is one effective solution, but a balance of ion energy flux and FC radical flux (Ei Γ i / Γ CF) breaks down, resulting in an excess amount of deposition, causing etch stop to occur. This is caused by the limited control margin of the ion energy flux over the FC radical flux ratio using conventional processes.

Atomic Layer Etching (ALE) concept has attracted great attention in recent years for its precise fabrication potential at the atomic level and its ability to solve this issue [3,4]. ALE method enables clear separation of ion energy flux and FC radical flux supply by controlling Ei Γ i / Γ CF dynamically using a new parameter of flux ratio respective to step time. Furthermore, specific control of the surface condition at each cycle is expected to be effective for precise fabrication. This report discusses the application of the ALE concept in the SAC process as a Quasi-ALE scheme with consideration for implementation to volume production. This scheme realized a dramatic improvement of SiO2 etch performance with substantial reduction of SiN loss. Further analysis of the surface condition by XPS, SIMS, HR-RBS helped determine the mechanism of selectivity enhancement. Quasi-ALE technology is a promising weapon, corresponding to leading-edge processes of various fabrication requirements along with the miniaturization of devices, towards 10nm and beyond.

Reference

[1] T.Tatsumi, M.Sekine et al. JVST B 18(4), 2000

[2] M.Matsui, M.Sekine et al. JVST A 19(4), 2001

[3] D.Metzler, G.S.Oehrlein et al. JVST A 32(2), 2014

[4] M. Honda, AVS 61th Int. Symp. & Exhibit. (2014)

9:20 AM PS+SS+TF-FrM-4 Fluorocarbon Based Atomic Layer Etching of Si3N4 and Selectivity of SiO2 over Si3N4
Chen Li, Dominik Metzler, Gottlieb Oehrlein (University of Maryland, College Park); Steven Lai, Michal Danek, Eric Hudson, Alexander Dulkin (Lam Research Corporation)

Angstrom-level plasma etching precision is required by semiconductor manufacturing for the sub-14 nm technology node. Atomic layer etching (ALE), achieved by a series of self-limiting cycles, can precisely control the amount of reactant available and resulting etching depths. Recently, controlled etching of SiO2 at the Angstrom-level based on steady-state Ar plasma, periodic injection of a defined number of fluorocarbon (FC) molecules, and synchronized plasma-based Ar+ ion bombardment has been demonstrated [1,2]. This novel ALE approach is achieved by deposition of a thin (several Angstroms) reactive FC layer on the material surface using pulsed FC flow. Subsequent low energy Ar+ ion bombardment removes the FC layer along with SiO2 from the surface. The ion energies were selected to allow only chemical enhanced etching to take place without any physical sputtering, which enables a self-limited SiO2 removal. We report on controlled etching of Si3N4 and SiO2 layers of the order of one to several Angstroms using this cyclic ALE approach. The work was performed in an inductively coupled plasma reactor. Using SiO2-Si3N4-SiO2 multi-layer stacks on a Si substrate enabled precise evaluation of selectivity, self-limitation, and modification by in situ real time ellipsometry. Si3N4 etching and etch selectivity of SiO2 over Si3N4 were studied and evaluated with regard to the dependence on FC surface coverage, precursor selection, ion energy, and etch step length. Surface chemistries of SiO2 and Si3N4 were investigated by vacuum transferred x-ray photoemission spectroscopy (XPS) at each stage of the ALE process. The choice of precursor can have a significant impact on the surface chemistry and therefore the chemically enhanced etching characteristics.

The authors gratefully acknowledge financial support of this work from National Science Foundation (CBET-1134273) and Lam Research Corporation.

References:

[1] D. Metzler, R. Bruce, S. Engelmann, E. A. Joseph, and G. S. Oehrlein, "Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma", J Vac Sci Technol A 32, 020603 (2014)

[2] E. Hudson, V. Vidyarthi, R. Bhowmick, R. Bise, H.J. Shin, G. Delgadino, B. Jariwala, D. Lambert, S. Deshmukh, “Highly selective etching of Silicon Dioxide Using Fluorocarbons”; AVS 61st International Symposium & Exhibition (2014);

9:40 AM PS+SS+TF-FrM-5 Chamber Wall Effect for Fluorocarbon Assisted Atomic Layer Etching of SiO2 Using Cyclic Ar/C4F8 Plasma
Masatoshi Kawakami (Hitachi High-Technologies, Japan); Dominik Metzler, Chen Li, Gottlieb Oehrlein (University of Maryland, College Park)

The requirement for atomic scale etching is becoming more important with increasing miniaturization of semiconductor devices. A novel approach for oxide etching has been developed by Metzler et al [1]. Controlled etching of SiO2 at the angstrom-level is based on steady-state Ar plasma and deposition of a thin reactive fluorocarbon layer enabled by precise, periodic C4F8 injection. High process stability is necessary for the success of this method and its use in mass production. Chamber wall interactions are crucial to the stability of this process. In this research, we studied the influence of chamber wall temperature and chamber wall chemical state on ALE process performance. The experiments were conducted in an inductively coupled plasma system excited at 13.56 MHz. The temperature of the quartz coupling window was measured with an infrared temperature sensor. In situ real time ellipsometry allows for film thickness measurements during the process. Plasma gas-phase chemistry was characterized by optical emission spectroscopy. We conducted the cyclic Ar/C4F8 SiO2 ALE process using different initial chamber temperature, and chamber wall polymer coverage condition. It was found that although the polymer film thickness deposited in each cycle is constant, the etching behavior changed, likely related to a change in depositing species. Chamber wall temperature shows a clear effect on the CO and SiF emission and their relative ratio. When the surface of the quartz coupling window started to be covered by a fluorocarbon film, the overall CO and SiF intensity decreased while the CO/SiF emission peak ratio did not change. The relationship to observed etching behavior will be discussed.

The authors gratefully acknowledge financial support of this work from National Science Foundation (CBET-1134273) and US Department of Energy (DE-SC0001939).

References:

[1]D. Metzler, R. Bruce, S. Engelmann, E.A. Joseph, and G.S. Oehrlein, J Vac Sci Technol A 32, 020603 (2014)

10:00 AM PS+SS+TF-FrM-6 Potential Solutions for Atomic Precision Etching
Olivier Joubert (LTM-CNRS, France); Emilie Despiau-Pujo (LTM, France); Gilles Cunge (LTM - CEA/LETI, France); Laurent Vallier, Jérôme Dubois, Aurélien Tavernier (Univ. Grenoble Alpes-CNRS-CEA/Minatec-LTM, France); Olivier Luere, Samir Banna, Ying Zhang (Applied Materials)
The continuous downscaling of device dimensions and introduction of new transistor architectures such as FDSOI or FINFEts transistors is bringing up new challenges for plasma etching technologies. For the gate transistor for example, future technological nodes require patterning capabilities in a range of dimension going below 10 nm. Extremely thin layers (less than 1nm) of materials are now involved in the stacks of materials to pattern requiring in some cases an etch precision better than 1 nm. In other words, the etch selectivity and physical/ chemical damage induced by the plasma must be controlled in a way such as the plasma can stop in a layer of materials as thin as 1nm without damaging the underlayers or the substrate material. Such a precision in processes becomes difficult to reach with the state of the art plasma technologies.

In this presentation, we will describe two plasma technologies that could potentially reach that goal.

A new Technology is the so-called “Thin Layer Etching” technology. In the first step of the TLE technology, H2 or He Ions produced by a capacitive plasma induce modification of silicon based materials while in a second step the modified material is removed in an all dry NF3/NH3 remote plasma that form volatile products with the modified silicon based materials. Performance achieved by TLE for nitride spacer etching will be shown and compared to conventional ICP results.

Fast gas pulsing technology could also be a promising way to form ultra-thin reactive layer during plasma processing, allowing atomic precision etching to be achieved. This concept will be explained and discussed based on preliminary result of silicon etching in chlorine plasmas using atomistic simulation.

10:40 AM PS+SS+TF-FrM-8 Molecular Dynamics Simulations of Atomic Layer Etching by Low Energy Ions
Jun-Chieh Wang, Shahid Rauf, Jason Kenney, Leonid Dorf, Kenneth Collins (Applied Materials Inc.)

In the semiconductor industry, the use of atomic layer etching (ALE) makes it feasible to accurately control the critical dimensions to nanometer level or smaller. In ALE, the target substrate is first exposed to a reactive gas that passivates the surface, which is then followed by ion bombardment with energy below the sputtering threshold. It is critical to precisely control the ion energy and flux during the etching process to remove the topmost layer of the passivated surface without damaging the underlying substrate. Once the passivation layer is removed, the etch process stops. The passivation and etching steps are repeated until one has etched to the desired thickness. In contrast to conventional plasma etch processes, microfabrication using ALE promises high selectivity and low damage to the substrate.

In this presentation, we discuss the properties of ALE using results from molecular dynamics (MD) simulations. The simulation procedure is conceptually similar to those described in previous publications [1,2]. In this study, a crystalline Si(100)-(2x1) or amorphous surface (made by low energy Ar+ ion bombardment) was generated and equilibrated at room temperature. The bottom layers were fixed in space, and the periodic boundary conditions were applied laterally to remove the boundary effect. The ions are modeled as energetic neutrals. The surface was passivated by repeated bombardment with low energy Cl atoms at normal incident, which was followed by Ar+ or Cl+ ion bombardment to remove the passivation topmost layers. The Berendsen scheme is used between ion/neutral impacts to remove the energy from the surface region and cool the surface layer to room temperature. The Stillinger Weber (SW) type potentials are used for Si-Si, Si-Cl and Cl-Cl interactions. The Ar-Si and Ar-Cl interactions were modeled using Moliere potentials. The leap-frog form of Verlet algorithm was used to numerically integrate the Newton’s equation of motion. The MD is applied to study several variants of the ALE process. The fundamental properties of Si etching are also investigated for both bare and Cl-passivated Si surfaces with several ions including Ar+, Cl+ and Cl2+. These fundamental studies are used to interpret our layer-by-layer ALE experiments in our laboratory.

Reference

[1] N. A. Kubota, D. J. Economou and S. J. Plimpton, J. Appl. Phys. 83, 4055 (1998).

[2] B. A. Helmer and D. B. Graves, J. Vac. Sci. Technol. A. 16, 3502 (1998).

11:00 AM PS+SS+TF-FrM-9 Atomic Layer Etching of InGaAs using Cl2/Ar Ion Beam
Jinwoo Park, Deokhyun Yun, Hwasung Kim, Geunyoung Yeom (Sungkyunkwan University, Republic of Korea)
Atomic layer etching can be one of next-generation etching techniques that can be applied to various materials including III-V compounds semiconducting materials such as indium-gallium arsenide (InGaAs) which is a great potential material due to the high carrier mobility for nano-scale devices. In this study, the atomic layer etching characteristics of InGaAs has been investigated using chlorine as adsorption gas and low energy Ar ion for desorption during the etch cycle to control the etch depth precisely and to minimize the surface damage of the material. For the chlorine adsorption, chlorine radical was adsorbed on the InGaAs surface and, during the desorption, the chlorine adsorbed InGaAs was removed by the Ar ion with the energy of about 20eV. By using the atomic layer etching technique, the controlled InGaAs etch depth per cycle and very high etch selectivity of InGaAs over dielectric materials such as silicon dioxide and hafnium dioxide could be obtained. The surface roughness of etched InGaAs characterized by atomic force microscopy was similar to that of un-etched InGaAs at the atomic layer etching condition.
11:20 AM PS+SS+TF-FrM-10 InGaN Quantum Nanodisks Fabrication by Bio-Template and Neutral Beam Etching
Yi-Chun Lai (National Chiao Tung University, Taiwan, Republic of China); Akio Higo, Cedric Thomas, ChangYong Lee, Tomoyuki Tanikawa, Kanako Shojiki, Shigeyuki Kuboya, Ryuji Katayama (Tohoku University, Japan); Takayuki Kiba (Hokkaido University, Japan); Ichiro Yamashita (Nara Institute of Science and Technology, Japan); Akihiro Murayama (Hokkaido University, Japan); Peichen Yu (National Chiao Tung University, Taiwan, Republic of China); Seiji Samukawa (Tohoku University)

III-N quantum dots (QDs) gain media have generated great interest because of their desirable properties such as low threshold and temperature independence due to the discrete nature of the density of states. A uniform and high-density two-dimensional (2D) array of an isolated QD structure is required when considering applications in visible wavelength such as white LED. In general, size distribution, uniformity, and high-density are trade-offs when using a conventional self-assembly method; therefore, we have developed a technique that integrates a bio-template with neutral beam etching (NBE) process.

In this work, quantum nanodisks (QNDs) were fabricated from InGaN/GaN single quantum well (SQW) by using a bio-template and NBE. We developed a damage-less, top-down fabrication process for achieving high density of QNDs such as 2 x 1011 cm-2 embedded in 10 nm in diameter and 20 nm high nanopillars. The fabricated QNDs have great potential for fabricating quantum optoelectronic devices because of controllable diameter and thickness.

The InGaN/GaN SQW wafer was grown on a 2-inch c-plane sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). The structure consisted of a 1μm-thick GaN buffer layer, 3nm-thick In0.1GaN and a 10nm-thick GaN capping layer. We used ferritins modified with polyethylene glycol (PEG ferritins) that include a metal oxide core for the etching mask. Oxygen annealing in vacuum was used to remove the ferritin protein shell at 350˚C, at chamber pressure of 32 Pa. Therefore the 7 nm diameter iron core was remained on the surface. Then hydrogen radial treatment, hydrogen passivation and NBE etching process were performed. At first, hydrogen radical treatment was realized to remove the surface oxide at chamber pressure of 32 Pa at 350˚C. Subsequently, hydrogen passivation was done to avoid any re-oxidation during the process. Finally, SQW was etched completely to form nanopillars using 40 sccm Cl2 at a chamber pressure of 0.1 Pa, with a substrate temperature of 100˚C, ICP power of 800 W and bottom electrode bias power of 10W. As a result, InGaN/GaN 10 nm in diameter and 20 nm high nanopillars could be fabricated. The etching profile was confirmed by Transmission electron microscopy (TEM).

After etching, we measured the photoluminescence (PL) and time-resolved PL (TRPL) to observe the quantum confinement energy levels. According to the PL measurements, we found an energy shift of 1.25 eV, from 2.9eV for SQWs to 2.75eV for QNDs. Although these measurements are still on-going now, we will clearly analyze and discuss the phenomena related to this shift in energy in the near future.

11:40 AM PS+SS+TF-FrM-11 Towards a Nanoscale Plasma Etching Precision: Molecular Dynamics Simulations of Si-Cl Interactions
Paulin Brichon (Univ. Grenoble Alpes-CNRS-CEA/Minatec-LTM,38000 Grenoble-France); Emilie Despiau-Pujo (LTM, France); Odile Mourey (Univ. Grenoble Alpes-CNRS-CEA/Minatec-LTM,38000 Grenoble-France); Gilles Cunge (LTM - CEA/LETI, France); Olivier Joubert (Univ. Grenoble Alpes-CNRS-CEA, France)
Due to high ion bombardment energies and significant fragmentation rates, conventional CW plasma processes are not able to selectively etch ultrathin films without damaging the active layers of advanced nanoelectronic devices (FDSOI, FinFET). In order to achieve uniform and damage-free etching of sub-nm-thick materials, one alternative is to lower the electron temperature (Te) of the plasma. This can be achieved temporally by pulsing the plasma (i.e. switching on and off the RF source power), which introduces two additional parameters to tune an etching process, the pulsation frequency and the duty cycle (DC). Pulsed-plasma discharges exhibit lower average ion energies (Ei~5-10eV); their chemical reactivity (or dissociation rate) can also be controlled by varying the DC. Another alternative is to lower Te spatially, by segregating the electron heating region far from the wafer. These low-Te plasmas are characterized by very low Ei (Ei<5eV) and high radical densities.

With lower Ei and controllable reactivity, these plasmas are promising to etch sub-nm-thick stacked materials. However, the interactions between reactive plasmas and surfaces are so complex that the efficient development of new processes can require numerical simulations. Therefore, we develop Molecular Dynamics (MD) simulations to understand the impact of various plasma technologies on the interactions between ultrathin Si films and Cl2 plasmas under a wide range of plasma conditions. They help to understand the precise role of Ei in plasma-surface interactions, the relationship between the flux/energy of reactive species bombarding the surface and its structural/chemical modifications.

In this study, MD simulations - coupled with experiments - are performed to quantify modifications (plasma-induced damage, etch rate) of Si films after exposition to various Cl2 plasma conditions, simulated by bombarding the substrate with both ion and neutral species. All simulations show the formation of a stable SiClx reactive layer and a constant etch yield (EY) at steady state. The key plasma parameter to control the etching of ultrathin Si layers is Ei, which lowers both the damaged layer thickness and EY when it is decreased. The neutral-to-ion flux ratio (Γ) is the 2nd key parameter: its increase reduces the damaged layer thickness while the etch rate grows. While maintaining Γ constant, the neutral dissociation rate and the ion composition do not influence significantly the etching process. Etching simulations of a simple Si pattern are then compared to the etching of blanket silicon, focusing on phenomena such as ion channeling, passivation/damage of pattern sidewalls and top pattern erosion.

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule