AVS2015 Session SD+AS+EM-ThM: Fundamentals of Selective Deposition

Thursday, October 22, 2015 8:00 AM in Room 210F

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic SD Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
8:00 AM SD+AS+EM-ThM-1 Fundamental Examinations of Surface Chemistry-Driven Approaches to Selective Area Atomic Layer Deposition
Wenyu Zhang, Jiun-Ruey Chen, James Engstrom (Cornell University)
Most approaches taken to date concerning selective area growth have involved the use of masking layers consisting of photoresists or self-assembled monolayers (SAMs). While some success has been achieved with this approach there are a number of disadvantages intrinsic to these methods. First, SAMs are very difficult to form defect free, and second, and perhaps more important, these masking layers themselves must be patterned or deposited selectively. A second approach to selective area ALD relies on intrinsic reactivity differences between exposed surfaces, which, unfortunately, may be limited to a few special cases. Here we seek to develop a more general approach to achieving selective area growth. We initially focus on the first half-cycle of ALD, where demonstrating selectivity for this part of the ALD process is a necessary, but not sufficient condition for selective area growth. We are examining two specific approaches to the surface chemistry: (i) the use of adsorption reversal agents; and (ii) the use of molecular blocking agents. Here for a thin film precursor we examine transition metal complexes with the generic structure, M(XRm)n, where M is the transition metal and XRm is the coordinating ligand. In pursuing strategy (i) we examine the introduction of a second species in the first half-cycle that can act as a coordinating ligand, e.g., HXR′m, or HXR′m-1R″, etc. In pursuing strategy (ii) species (Y) are selected that can effectively compete for adsorption sites, dependent on the composition of the substrate. Concerning strategy (i) we are currently investigating reactions between transition metal amido compounds and a series of amines (X = N). We have found a somewhat unexpected result for this chemistry: the partial pressure of H2NR′ produces similar effects on both a metal (Cu) and a dielectric (SiO2) surface, where a low partial pressure attenuates the irreversible adsorption of the thin film precursor, while a high partial pressure results in no adsorption of the precursor. An investigation of the reactions between the thin film precursor and a molecular blocking agent with the structure HSR″, gave much more encouraging results. Here we found complete blockage of chemisorption of the thin film precursor on a Cu surface, whereas on SiO2 the molecular blocking agent had no effect on the adsorption of the metal for the first half-cycle. We will conclude this presentation with a discussion of the mechanisms that are likely operative in both cases, making use of in situ measurements involving X-ray photoelectron spectroscopy, and also initial results concerning the effectiveness of this approach for multiple (5-20) cycles of ALD.
8:20 AM SD+AS+EM-ThM-2 Mechanistic Understanding of Surface-Selective Chemical Vapor Deposition of Copper Films Using a Molecular Inhibitor
Elham Mohimi, Shaista Babar, Brian Trinh, Gregory.S Girolami, John.R Abelson (University of Illinois at Urbana Champaign)

Surface-selective chemical vapor deposition of thin films can enable the fabrication of nanoscale devices by eliminating difficult patterning and etching steps. However, stray nucleation of film on the non-growth surface due to defect or impurity sites remains a challenging problem. We previously demonstrated the use of molecular growth inhibitors in copper CVD to control morphology, ranging from a smooth and continuous thin film to particles in a narrow size distribution. Here, we show that an inhibitor can also be used to afford essentially perfect selective growth. Addition of vinyltrimethylsilane (VTMS) molecules during copper CVD from the Cu(hfac)VTMS precursor reduces the growth rate of copper on copper by a factor of four, but reduces the Cu deposition on thermal SiO2 or porous carbon doped SiO2 to below one monolayer (area averaged). In-situ FTIR and mass spectroscopy show that the VTMS undergoes associative desorption with adsorbed Cu(hfac) intermediate on the non-growth surface, and is responsible for the surface selective deposition of copper only on the intended (metallic) substrate areas. The processing window for this method is wide in terms of VTMS pressures (> 1 mTorr), growth times (1-90 min), and substrate temperature (100-180°C).

8:40 AM SD+AS+EM-ThM-3 Selective Deposition of Copper-Manganese Alloy for Interconnects
Roy Gordon (Harvard University)
As copper interconnections are made smaller, they fail more easily by electromigration. The dominant pathway for motion of Cu atoms is along the interfaces between Cu and the surrounding insulator surfaces. In current technology, a tantalum nitride diffusion barrier and a Ta or Co adhesion layer are placed between Cu and the insulators. Because these layers are more resistive than Cu, the composite interconnect line has a higher resistance than one that would consist entirely of pure Cu. Here we describe how selective placement of manganese within the insulator surface can provide the necessary stabilization, adhesion and barrier properties for Cu lines without the resistance penalty of TaN/(Ta or Co) layers. After trenches and vias are etched into the insulator, CVD is first used to deposit a thin layer of manganese nitride conformally on all exposed surfaces of the insulator as well as on the Cu exposed at the bottoms of the vias. Then less than a monolayer of iodine is chemisorbed onto the MnNx from ethyl iodide vapor. Next, this iodine catalyzes selective, bottom-up CVD of Cu-Mn alloy so that it fills even the narrowest trenches and vias without any voids or seams at the centerlines. The iodine “floats” on the growing surface of the Cu, and is finally removed by chemical-mechanical polishing along with the Cu-Mn overburden and the MnNx on top of the insulator. During subsequent anneals, Mn rapidly diffuses out from the MnNx and from the Cu-Mn alloy into the near-surface regions of the insulator to form an insulating layer of MnSixOyNz surrounding the Cu. The necessary Cu adhesion and barrier properties are provided by this insulating layer of MnSixOyNz selectively placed just inside the surfaces of the insulators. During anneals, Mn and nitrogen originally located on the Cu surfaces at the bottoms of vias disappear as the Mn and N are re-distributed by diffusion to nearby insulator surfaces. The result is direct, low-resistance connection between Cu in vias with Cu in the metallization level below. This selective migration of Mn leaves pure, low-resistance Cu completely filling the entire volume of trenches and vias, providing the lowest possible line resistance. Thus Mn is placed selectively only where it is required to increase adhesion and lifetime before failure by electromigration, to prevent diffusion of Cu into the insulator and to avoid corrosion of Cu by water or oxygen. The same sequence of process steps can apply Cu to the walls of through-silicon-vias to conduct signals from one chip to another. This process can also form Cu seed layers for electrodeposition of Cu-filled vias for distributing power through silicon chips.
9:20 AM SD+AS+EM-ThM-5 Selective Growth of First Row Transition Metal Films by Atomic Layer Deposition
Charles Winter (Wayne State University)

Our laboratory seeks to develop the growth of metallic first row transition metal thin films using atomic layer deposition (ALD). The microelectronics industry is calling for the growth of metallic first row transition metal films by the ALD method for a variety of applications, including copper metallization, seed layers for copper metallization, copper/manganese alloys for self-forming copper diffusion barriers, and magnetic alloys. The ALD growth of noble metal thin films has been explored extensively in the past ten years, due to the positive electrochemical potentials of these metal ions and relative ease of reduction to the metallic state. The low temperature ALD of high purity, low resistivity Cu films has been described, but ALD routes to the other metallic first row transition metal films remain poorly developed, largely because of the negative electrochemical potentials of most of the ions and a corresponding lack of powerful reducing co-reagents that can convert precursors in positive oxidation states to the metals. We will describe the synthesis, structure, and properties of a large series of new first row transition metal ALD precursors containing alkoxide ligands that combine high volatilities, high thermal stabilities, and high reactivities toward reducing agents. We will also report borane reducing agents that can react with the metal precursors to afford metallic films. Additionally, we will overview the thermal growth of metallic copper, nickel, cobalt, iron, manganese, and chromium thin films from these new precursors. Importantly, the growth of these metals is highly selective for noble metal substrates, such as ruthenium, palladium, and platinum. The noble metal substrates appear to activate the borane reducing agents, thus enabling selective metal growth. Chemical insights into the selective growth will be presented.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM SD+AS+EM-ThM-10 Etching and Chemical Functionalization of Silicon Nitride Surfaces for Selective Deposition
Li-Hong Liu, Tatiana Peixoto, Wilfredo Cabrera, Don Dick, Jean-François Veyan (University of Texas at Dallas); David Michalak, Rami Hourani (Intel Corporation); Mathew D. Halls (Schrodinger, Inc.); SidharamP. Pujar, Han Zuilhof (Wageningen University, Netherlands); Yves J. Chabal (University of Texas at Dallas)

The ability to process silicon nitride and oxide films and chemically functionalize their surfaces by wet chemical methods is critical for selective deposition. The nature of HF-etched silicon nitride surface is complex and somewhat controversial. We have therefore performed an extensive study of HF etching of both Si3N4 and SiO2 surfaces, combining spectroscopic techniques (Infrared absorption, X-ray photoemission, Low energy ion scattering), imaging (atomic force microscopy) and contact angle measurements with first principles calculations, as a function of HF concentration. We have also performed post-treatment in cold and hot water, and chemical functionalization with a range of organic molecules to help determine the chemical nature of the HF-etched surfaces.

The nature of silicon nitride surfaces is complex. We find that immediate rinsing in deionized water after HF wet-chemical etching yields smooth silicon nitride surfaces with clear evidence for Si-F surface termination. Low energy ion scattering experiments and XPS measurement as a function of gentle sputtering with Gas cluster ion beams (GCIB) confirm that the F is all located at the surface (i.e. not distributed into the bulk), and in the form of Si-F with high temperature stability (up to 600 oC in ultra-high vacuum). Hydrolysis in water is very slow at room temperature, but can be achieved at high temperature (~90 % removal at 70 oC for 30 min). However, water is found to etch silicon nitride, as evidence by a loss of Si3N4 phonon absorption, suggesting that the removal of the surface fluorine may not only be due to surface reaction through a direct exchange mechanism via pentavalent Si intermediate, but also to the hydrolyzation of Si3N4 itself through back-bond chemical attack by water. In addition to F, we find that there is also surface -NH2 species, as evidenced by IR active -NH2 bending modes at 1550 cm-1, which we estimate to be only ~20 % monolayer from analysis of reaction with aldehyde molecules. However, this coverage appears sufficient to protect the Si3N4 surface. Finally, LEIS and XPS indicate that there is oxygen at the surface, which could either be in the form of -OH or Si-O-Si. Functionalization with alkylsiloxanes reveals that ~50 % of the surface contains Si-OH. In summary, the sum of the concentrations (50 %, 20 %, 50 % in ML), i.e. exceeding 1 ML, suggests that the etched surface may be atomically rough. Overall, these findings provide a method for selective deposition by using first aldehyde reaction for Si3N4 functionalization, followed by silane reaction for SiO2 functionalization.

11:20 AM SD+AS+EM-ThM-11 Area Selective Deposition of Ultrathin Magnetic Cobalt Films via Atomic Layer Deposition
John Ekerdt, Himmamshu Nallan, Thong Ngo, Sonali Chopra, Zizhuo Zhang (University of Texas at Austin)

Ferromagnetic thin films find applications in a variety of fields, such as electronics, spintronics, RF technology, energy, etc. With ever-decreasing device feature sizes, film conformity and crystalline structure become very important to determining magnetic properties. As such, atomic layer deposition (ALD) is a very attractive technique for magnetic film deposition as it ensures atomic level conformity. Since ALD film growth necessarily involves a film nucleation step, it is possible to engineer the surface energy of the substrate to cause preferential wetting and nucleation in only desired areas, resulting in area selective ALD (AS-ALD). Unlike conventional photolithography-based fabrication, a bottom-up patterning approach could eliminate the need for etch steps, reducing the cost of fabrication and overcoming scaling limitations in manufacturing devices. This work investigates the selective deposition of cobalt oxide via ALD that is subsequently reduced to carbon-free cobalt metal for use as the free magnetic layer within the magnetic tunnel junction of spin-transfer torque random access memory.

Alkylchlorosilanes and poly(trimethylsilylstyrene) are utilized to block water and cobalt bis(N-tert butyl, N'-ethylpropionamidinate) from an oxide substrate, such as silicon dioxide, hafnium dioxide and magnesium oxide, ensuring selective deposition of CoO films. Poly(trimethylsilylstyrene) is the half of a lamellar forming diblock copolymer that remains after self-assembly and feature development. The alkylchlorosilanes can be blanket deposited through the vapor phase or stamped onto the oxide surface using a poly(dimethylsiloxane) stamp. Cobalt oxide ALD proceeds on the exposed oxide surface. Strontium and Al are deposited atop the CoO films to scavenge oxygen during thermal annealing to yield cobalt metal films. Alternatively, reducing gases such as CO and H2 can be employed as an oxygen sink during thermal reduction of CoO to Co metal. Finally, we demonstrate control over the tunability of the coercivity of the resultant films by controlling the reduction conditions.

11:40 AM SD+AS+EM-ThM-12 Area-Selective Al2O3 Pattern Grown by Atomic Layer Deposition
Seunggi Seo, Hanearl Jung, Il-Kwon Oh, Hyungjun Kim (Yonsei University, Republic of Korea); Junggeun Yoon, Choongkeun Yoo, Ho-Jin Kim, Yong-baek Lee (LG Display Co., Ltd., Republic of Korea)

Over many past years, area-selective atomic layer deposition (AS-ALD) has been developed for fabricating 3D nanostructures. ALD is a method to deposit thin films by self-limiting surface reactions between supplied gaseous precursors. Since ALD is a surface sensitive deposition technique, surface modification of substrate renders the deposition of films to be area-selective. Most previous studies on AS-ALD have utilized self-assembled monolayer (SAM), which inhibits the chemical reaction between substrate and precursors, so that the film cannot grow on SAM-coated area. AS-ALD has been studied on various materials, such as TiO2, ZnO, and HfO2. Although Al2O3 has been widely used for ALD, there is no experimental report on AS-ALD Al2O3 by using SAM. Rather, a previous report on the calculation of surface reactions between TMA and SAM by density functional theory (DFT) has been presented[1]. That paper describes that CH -terminated SAM shows no thermodynamic driving force for the reaction between them, leading to AS-ALD Al2O3 by using TMA.

In this work, we systematically investigated AS-ALD of Al2O3 on SAM in various conditions, such as SAM coating methods, the kinds of SAM and substrate, and ALD process parameters. Addressing previous calculation report, Al2O3 was deposited on CH3-terminated SAM, octadecyltrichlorosilane (ODTS) and octadecylphosphonic acid (ODPA).

However, we observed Al2O3 layers were formed on SAM coated SiO2 and Ti substrate, which is inconsistent with previous report. To clarify, we investigated ALD Al2O3 on SAM coated substrate by using various analytic techniques such as contact angle measurement, Fourier transform infrared spectroscopy, ellipsometry, X-ray photoelectron spectroscopy (XPS), X-ray-reflectometry (XRR), and α-scanning. We observed TMA was physisorbed on CH3-terminated SAM, leading to Al2O3 deposition. Alternatively, we moved to our research toward the change of SAM coating methods, dipping and stamping, to lift both Al2O3 and underneath SAM coating off. Since stamping method is a faster process than dipping one, so that SAM by stamping might remain randomly distributed as forming weak bonding between SAMs and substrate. Moreover, we chose ODPA as a SAM due to its poor adsorption on SiO2. We observed that both Al2O3 and its underneath ODPA stamped on SiO2 were lifted-off from the substrate, resulting in area-selective Al2O3 pattern. This result opens a new way to patterning techniques for many areas of technology.

[1] Xu et al./ Chem. Mater. 2004, 16, 646-653

Time Period ThM Sessions | Abstract Timeline | Topic SD Sessions | Time Periods | Topics | AVS2015 Schedule