AVS2015 Session TF+EM+NS+PS+SM-ThM: Plasma ALD and Nano-applications

Thursday, October 22, 2015 8:00 AM in Room 114

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
8:00 AM TF+EM+NS+PS+SM-ThM-1 Atomic Layer Deposition of Silicon Dielectrics: Precursors, Processes, and Plasmas
Dennis Hausmann (Lam Research Corporation)

As the dimensions of modern semiconductor devices continue to shrink below the current 14 nm technology node, novel processes for the deposition of highly conformal, low temperature, silicon based dielectrics will be needed for applications that include sidewall spacers, barriers, and patterning layers. Atomic layer deposition (ALD) is an ideal method for achieving the high conformality and has been used in high volume manufacturing (HVM) to deposit high-k dielectric materials (HfO2, ZrO2, etc.) for several technology generations. Plasma assisted ALD is the best known method to meet low temperature (<500⁰C) requirements and is now being used for depositing conformal silicon dielectrics such as silicon oxide (SiO2) and silicon nitride (Si3N4).

In this presentation, we discuss the current state of the art of precursors, plasmas, and process conditions required to deposit conformal silicon dielectrics by plasma ALD. Theoretical and experimental data will be presented in order to explain the observed reaction characteristics for the plasma ALD of silicon oxide (SiO2), silicon nitride (Si3N4), and the lack (so far) of silicon carbide (SiC). Generic to all ALD processes is the high cost of the precursors relative to traditional chemical vapor deposition (CVD); in the case of silicon dielectric ALD, this is exacerbated by the relative low “reactivity to cost ratio” of available silicon precursors. Although plasmas enable low temperature deposition, they pose challenges for achieving isotropic film properties over the complex topography on today’s semiconductor devices.

8:40 AM TF+EM+NS+PS+SM-ThM-3 ALD Dielectrics for Power Electronics
Veena Misra (NCSU)
Owing to a high critical electric field and high electron mobility, wide band gap materials such as GaN and SiC are being sought for high voltage power electronics applications. In the case of GaN devices, the reliability continues to be a challenge to must be addressed before successful commercialization. In our work, different dielectrics deposited by Atomic Layer Deposition (ALD) have been investigated for improving the threshold voltage stability and dynamic reliability of AlGaN/GaN based MOSHFETs. A novel pulsed-IV-based methodology was developed and demonstrated to be applicable for detecting both shallow and deep traps and implemented on evaluating different high-k and low-k ALD dielectrics. Using physics-based simulation models and experimental data, it was demonstrated that the leakage at the surface of the AlGaN, whether through the passivation dielectric bulk or the dielectric/AlGaN interface, must be minimized to restrict the formation of a “virtual gate” and minimize current collapse. It was also found that an optimal passivation dielectric must create a high density of shallow interface donor traps to quicken the de-trapping of electrons from the “virtual gate” and the recovery of the channel underneath. Combining simulation and experimental results, an optimal set of ALD dielectrics for a reliable gate stack and access-region passivation regions, respectively, was determined and will be discussed. In the area of SiC devices, low inversion channel mobility, caused high density of interface states (Dit) at SiO2/SiC interface, limits the wide adoption of SiC MOS devices. Atomic Layer Deposition offers key advantages in the area of gate dielectrics such as good film quality, low substrate damage, superior uniformity, precise thickness control, and low process temperature. Additionally, deposited SiO2 enables interface engineering to independently control the interface properties. To enhance the channel mobility and maintain good overall gate dielectric properties, a thin layer of a different dielectric material can be inserted to improve interface properties and high quality deposited SiO2 can be used as the bulk gate dielectric. We have demonstrated a novel interface engineering technique utilizing ultra thin lanthanum silicate (LaSiOx) at the SiC/dielectric interface and ALD SiO2 as the bulk gate dielectric. The lanthanum silicate interface engineering dramatically improves the mobility of 4H-SiC metal oxide semiconductor field effect transistors (MOSFETs) and is attributed to the large driving force of La2O3 to react with SiO2.
9:20 AM TF+EM+NS+PS+SM-ThM-5 Pb(ZrxTi1-x)O3 Magnetoelectric Tunnel Junctions for Magnetoelectric RAM (MeRAM) Memory Applications
Diana Chien, Xiang Li, Kin Wong, Pedram Khalili, Kang Wang, Jane P. Chang (University of California at Los Angeles)

As existing memory systems approach fundamental limitations, ultra-thin uniform conformal PZT films are needed for next-generation ultralow-power voltage-controlled non-volatile magnetoelectric RAM (MeRAM) memory devices. By utilizing the magnetoelectric effect, where an electric field or voltage can be used to control the magnetization switching (instead of current), the writing energy can be reduced, resulting in increased memory density (Amiri, P.K. et al., Journal of Applied Physics, 2013). Previous research has shown that the voltage-controlled magnetic anisotropy (VCMA) effect increases with the capacitance of the stack (Kita, K. et al., Journal of Applied Physics, 2012). Therefore, integrating an ultra-thin PZT film (having a dielectric constant 1-2 orders of magnitudes higher than currently used MgO) into the tunneling oxide layer will enhance the VCMA coefficient, allowing for a lower voltage to switch the magnetization of the free magnetic layer and thus decreasing the write energy.

Using atomic layer deposition (ALD), a surface-reaction controlled process based on alternating self-limiting surface reactions, an ultra-thin film of PZT can be synthesized with precise control of the film thickness and elemental composition (Zr/Ti = 52/48). ALD PZT thin films were synthesized by depositing alternating layers of PbO, ZrO2, and TiO2 layers using Pb(TMHD)2, Zr(TMHD)4, and Ti(O.i-Pr)2(TMHD)2 as metal precursors and H2O as the oxidant. The number of local cycles and global cycles were regulated to achieve the desired stoichiometry and thickness, respectively. The bottom layers of Ta/CoFeB (free magnetic layer)/MgO were sputtered, the PZT film with thickness of 1.7 nm was deposited by ALD, the top layers of MgO/CoFeB (fixed magnetic layer)/Ta/Pt were sputtered, and the entire stack was annealed at 200oC for 30 minutes in order to fabricate PZT magnetoelectric tunnel junctions (MEJs).

The perpendicular magnetic anisotropy (PMA) of the bottom free magnetic CoFeB layer was verified via superconducting quantum interference device (SQUID) magnetometer, confirming that the ALD PZT deposition process is a viable method for synthesizing PZT MEJs. The tunnel magnetoresistance (TMR) was measured to be 50%, demonstrating a promising read-out process. Due to the integrated ALD PZT layer in the tunneling barrier, the VCMA coefficient of PZT MEJ devices is expected to be double that measured for CoFeB/MgO/CoFeB devices (ξ = 37 fJ/V.m) (Zhu, J. et al., Physical Review Letters, 2012).

9:40 AM TF+EM+NS+PS+SM-ThM-6 Plasma-Assisted ALD of High-Quality Molybdenum Oxide Films
Martijn Vos, Bart Macco, Nick Thissen, Ageeth Bol, Erwin Kessels (Eindhoven University of Technology, Netherlands)

In this contribution we present a novel plasma-assisted atomic layer deposition (ALD) process to deposit high-quality molybdenum oxide films, with a high growth per cycle (GPC) over a wide temperature range of 50 °C to 350 °C. This process complements existing (thermal) ALD MoOx processes, which are less suited for deposition at low temperature, due to low GPC and contamination. A decent deposition process is of importance as MoOx films have received great interest due to their remarkable optoelectronic and catalytic properties and find their use in many applications, including solid state lithium batteries, gas sensors, and more recently solar cells.

A variety of deposition techniques exists for the deposition of MoOx, such as evaporation, sputtering, chemical vapor deposition and ALD. While many of the applications of MoOx films can benefit from the merits of ALD, i.e. conformality and digital thickness control, only few ALD processes are known from literature [1, 2]. Recently bis(tert-butylimido) bis(dimethyamido) molybdenum ((NtBu)2(NMe2)2Mo) appeared as a promising precursor for ALD of MoOx films, using O3 as oxidant [2].

The plasma-assisted ALD process we report on uses (NtBu)2(NMe2)2Mo and O2 plasma and shows a relatively high GPC between 0.70 Å and 0.93 Å for amorphous films deposited at temperatures up to 250 °C. In comparison, the analogous O3 process is featured by a low GPC of 0.17 Å at 150 °C. For deposition temperatures above 250 °C polycrystalline growth was observed, accompanied by an increase in GPC to 1.88 Å for 350 °C. From Rutherford backscattering measurements it was determined that the C and N content in the films is below the detection limit (3 at.% and 2 at.% respectively) for all deposition temperatures, which demonstrates the high-quality of the films (while the aforementioned O3 process resulted in 9.2 at.% N). Furthermore the O/Mo ratio was found to be just below 3, indicative of oxygen vacancies, which are common for MoOx films and can lead to an increased conductivity, which is beneficial for many applications. Additional material properties such as band gap, work function and surface morphology will also be discussed and finally an outlook to the application of this ALD process in silicon solar cells will be given.

[1] M. Diskus et al., J. Mater. Chem. 21 (2011) 705

[2] A. Bertuch et al., J. Vac. Sci. Technol. 32 (2014) 01A119

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM TF+EM+NS+PS+SM-ThM-10 Status and Prospects of Plasma-Assisted Atomic Layer Deposition
Harm Knoops (Oxford Instruments Plasma Technology, UK); Erwin Kessels (Eindhoven University of Technology, Netherlands)

Plasma-assisted atomic layer deposition (ALD) or plasma ALD has established itself as a prominent branch in ALD processing and a wide range of plasma ALD processes are currently available. Due to the complexity of plasmas, plasma ALD is different from thermal ALD in various aspects. Even though the main relevant species in plasmas have been identified,1 the effects of plasma chemistry and plasma-surface interaction need further study. In this contribution an overview on the status of plasma ALD is given and the key prospects for plasma ALD are highlighted.

Regarding the current understanding of plasma ALD, three subjects will be treated. First the basic plasma species (i.e., radicals, electrons, ions, and photons) and their role in plasma ALD will be discussed. For instance, potential damage to the surface from photons, but also cases where plasma species can repair defects (e.g., N2 plasmas on GaN surfaces).2 Second plasma chemistry and potential poisoning or inhibition processes will be treated, which can play a big role in the ALD of nitrides and conductive films. Third, dissociation in the plasma of reaction products can lead to redeposition effects which can have a large influence on for instance SiNx and TaNx ALD.

Several topics will be discussed regarding the prospects for plasma ALD. Even though plasma ALD provides additional possibilities, many cases exist where material properties or cycle times are still unsatisfactory and advances in reactor design such as the capability to provide additional energy in the form of a controlled ion bombardment are needed. In addition, advanced processing schemes such as 3-step ABC ALD cycles can be beneficial as shown by ALD of noble metals at low deposition temperatures (e.g., Pt ALD).3 Whether metal films initially grow as continuous films or as nanoparticles (as well as the particle size), will depend on the chemistry, the surface energy, and the growth temperature. Recently, plasma ALD has shown to allow ALD of Ag by spatial ALD at high pressure.4 This case shows that more understanding of the plasma is needed because of an unexpected decreased growth at long plasma exposures (presumably caused by NH3 poisoning). In general, increasing control of the plasma and understanding of the relevant processes at the surface and in the plasma will be key to further develop plasma ALD.

1 Profijt et al., JVST A29, 050801 (2011)

2 Chen et al., Phys. Status Solidi A (2014) / DOI 10.1002/pssa.201431712

3 Mackus et al., Chem. Mater.25, 1769 (2013)

4 Van den Bruele et al., JVSTA33, 01A131 (2015)

11:40 AM TF+EM+NS+PS+SM-ThM-12 A Novel Plasma-Enhanced ALD Process for HfO2 using HfCp(NMe2)3 and O2 Plasma
Akhil Sharma, Valentino Longo, Ageeth Bol, Erwin Kessels (Eindhoven University of Technology, The Netherlands)

In atomic layer deposition (ALD) the associated precursor chemistry has a large effect on the quality and properties of the deposited thin films. The most commonly used hafnium precursor for ALD of HfO2 is HfCl4. This precursor is not ideal for all applications due to possible chlorine contamination and the generation of corrosive by-products during the ALD process. Organometallic precursors such as Hf(NtMe)4 promise to be a better choice but they typically suffer from a limited thermal stability. In this context, HfCp(NMe2)3 might offer a better alternative because of its higher thermal stability. However, while using H2O as oxygen source in a thermal ALD process it yields a low growth rate1. This creates an opportunity for studying this precursor in combination with other oxygen sources. In this work, we report on the development of a novel plasma-enhanced ALD (PE-ALD) process using HfCp(NMe2)3 in combination with an O2 plasma to deposit HfO2 thin films. To our knowledge, to date, the PE-ALD for this precursor has not been reported in the literature.

Our results show that the PE-ALD process offers significant advantages over the reported thermal ALD process such as a high growth rate, reduced deposition temperature, shorter cycle time and good control over composition of the deposited films. In contrast to the thermal ALD process using HfCp(NMe2)3 and water1, the PE-ALD process has resulted into a wide ALD temperature range (150-400°C) with significantly higher growth per cycle values (1.1Å/cycle) and shorter cycle times which ultimately improves the wafer throughput. The level of impurities were found to decrease with increasing the deposition temperature as concluded from XPS and ERD analyses. The concentrations of residual carbon and hydrogen reduced from 1.0 at% to 0.2 at% and 3.4 at% to 0.8 at%, respectively, by increasing the deposition temperature from 200°C to 400°C. Moreover, RBS studies showed an improvement in stoichiometry of HfO2 thin films with increase in deposition temperature resulting in a Hf/O ratio of ~0.5 at 400°C. Furthermore, GI-XRD measurements detected a strong transition from amorphous (300°C) to fully crystallized films (400°C), consisting of a mixture of monoclinic, tetragonal and cubic phases. These results therefore have demonstrated that PE-ALD using HfCp(NMe2)3 and O2 plasma is a promising and viable alternative to the thermal ALD process producing high quality HfO2 thin films over a wider temperature range and with faster cycle times.

1. Consiglio et al, J. Vac. Sci. Technol. A 30(1), 2012

12:00 PM TF+EM+NS+PS+SM-ThM-13 Conductive Hafnium Nitride Layers By Plasma-Assisted Atomic Layer Deposition
Saurabh Karwal, Benjamin Williams, Wilhelmus Kessels, Mariadriana Creatore (Eindhoven University of Technology, The Netherlands)

Transition metal nitrides (TMNs) have gained much attention in the semiconductor industry due to their characteristics such as copper and lithium diffusion barriers, metal-like behaviour (i.e. low resistivity) and high hardness, mechanical strength and chemical inertness. Among TMNs, hafnium nitride exhibits a low bulk resistivity of 33 μΩcm and highest negative Gibbs free energy of formation (HfN: -88.2, TiN: -80.4, TaN: -60.3 kcal/mol) and hence could serve as novel material for several applications, such as diffusion barrier and gate electrode in microelectronics, and reflective back contact for CIGS solar cells.

Conductive hafnium nitride thin films were deposited by inductively coupled plasma (ICP)- assisted atomic layer deposition using a heteroleptic metalorganic hafnium precursor, tris(dimethylamino)cyclopentadienylhafnium CpHf(NMe2)3 [TDMACpH] and H2-or N2- fed plasmas serving as co-reactants. The effects of the substrate temperature, plasma chemistry and plasma exposure time have been investigated in terms of growth-per-cycle (GPC), chemical, electrical and morphological properties of the deposited layer. It has been observed that highly resistive (0.75 Ωcm) Hf3N4 thin films are obtained via an A-B type ALD cycle (TDMACpH/N2 –fed plasma) with a GPC of 0.035 nm/cycle. Furthermore, a limited abstraction of the ligands leads to a residual carbon content in the layer of 7%.

Instead, conductive films (1.8 x 10-3 Ωcm) are achieved upon the application of an A-B-C ALD cycle where an intermediate H2- fed plasma exposure step is included between the TDMACpH exposure and the N2-fed plasma step, with a GPC of 0.045 nm/cycle. This intermediate step is found to be responsible for a more efficient removal of the precursor ligands and for the reduction of Hf4+ state to Hf3+ state, essential for guaranteeing electron conductivity. This transition of chemical and electrical properties of the deposited thin films is also accompanied by a change in crystallographic properties from amorphous (A-B ALD cycle) to conductive cubic HfN (A-B-C ALD cycle), as revealed by grazing incidence X-ray diffraction.

Contact: s.karwal@tue.nl

Time Period ThM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2015 Schedule