AVS2015 Session PS-ThP: Plasma Science and Technology Poster Session

Thursday, October 22, 2015 6:00 PM in Room Hall 3

Thursday Evening

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule

PS-ThP-1 Effect of Embedded Radio Frequency Pulsing for Selective Etching of SiO2 Contact Hole using Ar/C4F8/O2 Gas Mixture in the 60/2 MHz Dual-frequency Capacitively Coupled Plasma System
Namhun Kim (Samsung Electronics, Republic of Korea); Geunyoung Yeom (Sungkyunkwan University, Republic of Korea)

The characteristics of embedded pulse plasma using 60 MHz radio frequency as the source power and 2 MHz radio frequency as the bias power were investigated for the etching of SiO2 masked with an amorphous carbon layer (ACL) using an Ar/C4F8/O2 gas mixture. Especially, the effects of the different pulse duty ratio of the embedded dual-frequency pulsing between source power and bias power on the characteristics on the plasma and SiO2 etching were investigated. The experiment was conducted by varying the source duty percentage from 90 to 30% while bias duty percentage was fixed at 50%. Among the different duty ratios, the source duty percentage of 60% with the bias duty percentage of 50% exhibited the best results in terms of etch profile and etch selectivity. The change of the etch characteristics by varying the duty ratios between the source power and bias power was believed to be related to the different characteristics of gas dissociation, fluorocarbon passivation, and ion bombardment observed during the different source/bias pulse on/off combinations. In addition, the instantaneous high electron temperature peak observed during each initiation of the source pulse-on period appeared to affect the etch characteristics by significant gas dissociation. The optimum point for the SiO2 etching with the source/bias pulsed dual-frequency capacitively coupled plasma system was obtained by avoiding this instant high electron temperature peak while both the source power and bias power were pulsed almost together, therefore, by an embedded RF pulsing.

PS-ThP-2 Synthesis of B4C And In Situ B4C / ZrB2 From Sugar Based Precursor
Abdullah Selim Parlakyigit, Emir Aktan, Celaletdin Ergun (Istanbul Technical University, Turkey)

Boron carbide (B4C) is one of the hardest materials and therefore commonly used for a variety of applications including armor plating, blasting nozzles and mechanical seal faces, as well as for grinding and cutting tools. Conventional production methods of B4C, such as carbothermal reduction in industrial scale requires high investment and high operating costs, inhomogeneous resultant material, etc.

Zirconium diboride (ZrB2) is a highly covalent refractory ceramic material which is considered as an ultra-high temperature ceramic (UHTC) with a melting point of 3246 °C. ZrB2 with its relatively low density of ~6.09 g/cm3 and good high temperature strength has a potential to be used for high temperature aerospace applications.

In the present study, a method based on sulfuric acid dehydration of sugar was developed to synthesis a precursor material, which can yield B4C and in situ B4C/ ZrB2 composites at much lower temperatures compared to traditional carbothermal methods. The precursor material was heat treated at the temperatures between 1000 and 1600oC under inert atmosphere and characterized by XRD, SEM and FTIR.

PS-ThP-3 High Power Pulsed Magnetron Sputtering: A Way to Broaden Industrial Implementation
Jake McLain, Priya Raman, Ivan Shchelkanov (Center for Plasma Material Interactions, University of Illinois, USA); Sean Armstrong (Kurt J. Lesker Company); David Ruzic (Center for Plasma Material Interactions, University of Illinois, USA)

High Power Pulsed Magnetron Sputtering (HPPMS) is a magnetron sputtering technique that utilizes high peak power pulses applied to the sputtering target. HPPMS is capable of producing a much higher fraction of sputtered material ions, though has the major drawback of intrinsically low deposition rates for all materials. The low deposition rate is a byproduct of the “return effect”, seen in systems that have high amounts of sputtered ions. [1]. HPPMS provides better film quality, and in some cases enables unique deposition processes. [2] Despite the characteristic better film quality, the inherently low deposition rates obstruct wide industrial implementation.

Center for Plasma Material Interactions (CPMI) has developed the “ε” magnet pack, which is capable of HPPMS deposition rates comparable to DC Magnetron Sputtering (dcMS) deposition rates at 500W and 10mTorr. [3] To achieve that high deposition rate, the “ε” magnetic configuration implements a field topology referred to as “opened field lines”.

All previous research was done in cylindrically symmetric configurations, but most large- scale industrial tools utilize liner magnetrons of up to several meters long. This work is dedicated to the development of a rectangular magnet pack compatible with commercially available magnetrons, in order to provide higher deposition rates of HPPMS in a wider range of technological processes. Electron confinement is discussed in detail, and the sputtering rate from the rectangular pack at different cathode-substrate distances in a HPPMS discharge is modeled.

[1] L. Meng, H. Yu, M. M. Szott, J. T. McLain, and D. N. Ruzic, J. Appl. Phys. 115, 223301 (2014).

[2] J. Alami, K. Sarakinos, G. Mark, and M. Wuttig, Appl. Phys. Lett. 89, 154104 (2006).

[3] P. Raman, I. Shchelkanov, J. McLain, and D. N. Ruzic, J. Vac. Sci. Technol. A 33, 031304 (2015).

PS-ThP-4 Non-thermal Plasma Synthesis of Hollow Silicon Carbide Nanoparticles
Devin Coleman, Thomas Lopez, Ozgul Yasar-Inceolgu, Lorenzo Mangolini (University of California - Riverside)

The synthesis of beta-phase silicon carbide nanoparticles exhibiting a hollow core-shell morphology is demonstrated by means of a two-step non-thermal plasma method.[1] Crystalline silicon nanoparticles are nucleated from silane precursor gas in a non-thermal plasma reactor similar to the one described in [2] and injected into a secondary methane-containing plasma reactor, where they are carbonized to form beta-phase silicon carbide nanoshells. An analytical solution of the 1D diffusion equation in spherical coordinates, as well as the lattice volume expansion from silicon to silicon carbide are used to explain the formation of the interior void. This provides an alternative to previously reported results, which invoke the nanoscale Kirkendall effect to explain the void formation by means of fast out-diffusion of the core element.[3] Further consideration of the system kinetics indicates interactions with the ionized gas leads to particle superheating, allowing for the diffusion of carbon into the silicon matrix and nucleation of beta-phase silicon carbide to occur during the short residence time of the particles in the system. This work expands upon the materials achievable by non-thermal plasma synthesis and reinforces its potential as an industrial materials processing method. Furthermore, it suggests that such systems offer the capability to engineer particle morphology.

References:

[1] Hollow silicon carbide nanoparticles from a non-thermal plasma process. D. Coleman, T. Lopez, O. Yasar-Inceoglu, and L. Mangolini. J. Appl. Phys. In press (2015).

[2] Silicon nanocrystal production through non-thermal plasma synthesis: a comparative study between silicon tetrachloride and silane precursors. O. Yasar-Inceoglu, T. Lopez, E. Farshihagro, and L. Mangolini, Nanotechnology 23, 255604 (2012).

[3] Formation of Hollow Nanocrystals Through the Nanoscale Kirkendall Effect. Y. Yin, R. M. Rioux, C. K. Erdonmez, S. Hughes, G. A. Somorjai, and A. P. Alivisatos, Science 304, 711 (2004).

PS-ThP-5 Finite Element Modeling of Surface Wave Plasmas Excited by Microwave Slot Antennas for Processing of Thin Film Materials
Pawel Piotrowicz (University of Illinois); Darren Alman, Brian Jurczyk (Starfire Industries); Michael Stowell (Applied Materials); Ivan Schelkanov (University of Illinois); Davide Curreli (University of Illinois at Urbana Champaign); David Ruzic (University of Illinois)

Plasmas generated by microwave excitation offer multiple advantages to thin film processing over lower frequency and DC discharges. Typical microwave discharges create plasmas with higher densities of electrons, lower average electron temperatures, and lower sheath voltages. The decreased ion energies and higher densities respectively offer less ion-induced damage to the thin film and higher deposition rates. These advantages can provide a processing technique to grow high quality crystalline thin films with low density of intrinsic defects.

However, on smaller scale devices, microwave discharges have not been used in industry, due to the difficulty in creating a uniform plasma density. The finite element model describes surface wave plasmas generated by a microwave slot antenna. The plasma is modeled as a dielectric medium with variable plasma density. Dielectric properties of the plasma are derived from a cold plasma dispersion relation. This approach provides a simple model to predict plasma density based on power deposition throughout the dielectric material, which can be used to optimize antenna design for uniform plasma generation.

PS-ThP-6 Instantaneous Generation of Many Flaked Particles in Mass-Production Plasma Etching Equipment
Yuji Kasashima (National Institute of Advanced Industrial Science and Technology (AIST), Japan)

The mechanism of instantaneous generation of many flaked particles is investigated in mass-production plasma etching equipment. The results indicate that the deposited films are severely damaged and flaked off as many particles when an inner wall potential (floating potential) changes instantaneously and the electric field stress works as an impulsive force.

Particle contamination in plasma etching equipment significantly lowers production yield and overall equipment efficiency. In plasma etching, etching reaction products adhere to the inner chamber walls, gradually forming films as wafers are processed. Particles are generated by flaking of the deposited films due to electric field stress. In mass-production line, serious contamination caused by many particles sometimes suddenly occurs.

The experimental apparatus is the mass-production reactive ion etching equipment which can generate capacitively coupled plasma discharge. The etching process sequence and equipment parameters are similar to those used in actual manufacturing facilities. This study uses a titanium etching process that often causes significant particle contamination in mass-production equipment.

Flaked particles are detected by the in situ particle monitoring system. A sheet-shaped laser beam is introduced in a plane parallel to the wafer in the processing chamber at a distance of 4 mm from the ground electrode. The light scattered by particles is measured using an image-intensified charge-coupled device camera. The viewing port style plasma probe (VP-Probe) can detect a transient change in the floating potential formed on the inner surface of the chamber.

In this experiment, electrostatic chuck voltage much higher than usual is supplied to induce micro-arc discharge at the back of wafer. Many particles are detected simultaneously with the abrupt increase in the amplitude of VP-Probe caused by the micro-arc discharge. The arcing at backside of the wafer and many particles from the ground electrode occur simultaneously. That is, these phenomena occur at the same time despite in different parts of the chamber. The large and rapid change in the inner wall potential due to the arcing can make the electric field stress acting on the deposited film work as an impulsive force, generating numerous flaked particles suddenly.

Accordingly, the results reveal that the floating potential on the inner chamber wall changing rapidly and markedly causes many flaked particles because the deposited films are strongly damaged by the impulsive force of electric field stress. This mechanism can occur on not only a ground electrode but also a chamber walls, and lead to serious contamination.

PS-ThP-7 Plasma Chemical Transport of Borazine for 2D Atomic Layer Growth of Hexagonal Boron Nitride
Takeshi Kitajima, Toshiki Nakano (National Defense Academy of Japan)

Hexagonal Boron Nitride (h-BN) is interested because of its similar 2 dimensional crystal feature to Graphene.

The stack of h-BN with Graphene has less lattice mismatch compared to the other dielectric materials.

Mechanical exfoliation and stacking of h-BN with Graphene is examined and presented a novel transistor characteristics1. Chemical vapor deposition schemes are desired for the future mass production of the devices.

CVD growth of h-BN film on Cu or Ni is shown by several groups using Borazine as the source of BN under high temperature atomospheric conditions2.

In this study, we introduced a new plasma induced chemical transport technique3 of Borazine to the growth of h-BN for expanding the process window.

The experimental apparatus of the plasma chemical transport consists of the growth chamber and the plasma chamber (Fig.1). The growth chamber has a manipulator with a Mo sample heater. The plasma chamber has inner type 9 turn coil for inductive coupling of 100 MHz RF power. On the side of the coil, Borazine powder is placed to be vaporized by the hydrogen plasma exposure. The pressure of the plasma is 30 Pa. The Borazine vapor and the hydrogen radicals are transported to the growth chamber through 2 mm orifice which suppresses the ion exposure to the sample surface. The growth temperature ranges 560C to 1000C.

The optical microscope image of the film (Fig.2) shows the BN related clusters are locally produced on 560C sample while 1000C sample shows many graphene patches generated from excess carbons from the plasma source. The atomic concentration of the sample (Fig.3) shows the B and N concentration is highest (16 and 12 %) at 560C, and decreases to 3 and 2 % at 730C. Therefore, BN precursors can deposit on Cu surface only at lower sample temperature. This is also confirmed by the Atomic Force Microscope image of the sample (Fig.4). The image shows the 560C sample is covered with BN related polymer islands about a few tens of nm in width. The islands disappear as the growth temperature increases and the terrace of the Cu is emphasized.

The results show that BN growth on Cu with low pressure plasma induced chemical transport of Borazine is only possible at relatively low sample temperature. The 2 dimensional BN film growth is required to start from the BN polymer growth at lower temperature. We expect the BN polymer should be annealed at higher temperature around 1000C and be converted to 2 dimensional atomic layer.

1. I. Meric, et.al.IEDM, (2010) 23.2

2. S. Yumeng, et.al. Nano Letters 10, 4134 (2010)

3. H. Ohmi et al., J. Appl. Phys. 102, 023302 (2007)

PS-ThP-9 A Low Cost Microplasma Generation Device for Detection of Volatile Organic Compounds Using Plasma Emission Spectroscopy
Po-Wei Ye, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)

A low-cost and portable dielectric-barrier-discharge-type microplasma generation device (MGD) and the use of this MGD to detector volatile organic compounds are presented. This MGD was made of double-side copper laminate (CCL) and the MGD electrode patterns were defined using the toner-transfer method. Which enables defining the patterns with resolution down to approximately 150 mm without the need of using cleanroom facilitates. Using this MGD with a specially designed electrode arrangement, an applied voltage of 20 kHz and 500 Vrms is sufficient to ignite and sustain stable plasmas in an Argon-rich atmosphere. When the plasma is ignited in Argon atmosphere with a controlled amount of organic compounds addition, carbon-related optical emissions, namely CH(430.29nm) and C2(513.5nm), are observed using a spectrometer. With this MGD, the detection limit for methanol, ethanol, and acetone are 248 48, and208ppm, respectively. A nearly linear calibration curve can be obtained for ethanol with a concentration range from 50 to 1000 ppm.

PS-ThP-10 The Development of a Pin-to-Droplet Plasma Generation Device for Detection of Metallic Ions in Aqueous Solutions
Min-Chun Chen, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)
This work presents a simple set-up and potentially portable plasma generation device for the detection of metallic ions by optical emission spectrometry. This device consists of a needle-type power electrode and a droplet on a metal plate as the ground electrode. With a precisely control of the pin-to-droplet distance of 0.1 to 0.3 mm, the plasma can be ignited with a 2 kV DC voltage in ambient air without the need of any purging gases. . With this device, detection of metallic ions in aqueous solutions can be performed by analyzing the plasma emission spectroscopy with a sample amount as small as 5 μL. Na, Li and K ions with a concentration as low as 20, 70, and 400 μg/L, respectively, can be detected. This detection limit can be significantly decreased when the metallic ions are dissolved in acid such as HNO3 or HCl. The sensitivity for optical emission spectrometry of different metallic ion is Na>Li>K>Cu>Zn. A linear calibration curve with a concentration between 2 and 2000 mg/L for Na ion by correlating the normalized metallic emission intensities and the concentration has been established. Finally, the potential using this device as a battery-powered and portable analytical tool will be discussed.
PS-ThP-12 Simulation of Deep Silicon Etching under Cryogenic ICP SF6/O2/Ar Plasma Mixture using multi-Scale Approach
Yehya Haidar, Ahmed Rhallabi, Amand Pateau, Arezki Mokrani (Université de Nantes, France); Fadia Taher (Université Libanaise, Lebanon)
SF6 based plasma is widely used in the dry etching of silicon. In many new devices manufacturing such as Systems in Packages (SIP) or Micro-Electro-Mechanical Systems (MEEMS), deep etching of silicon without any local morphological defects like bowing, undercut or trenching is required. Cryogenic silicon etching is used by adding oxygen in order to inhibit the sidewall etching and then improve the silicon etch anisotropy. The fraction of oxygen in SF6/O2/Ar plasma mixture is one of the critical parameters in the control of this process.

In order to know more about the plasma surface interaction in cryogenic ICP silicon etching process, we have developed silicon etching simulator. The model is composed of three modules: plasma kinetic model, sheath model and etching model. The plasma kinetic model is based on the 0D global approach which allows the calculation of the average densities and fluxes of neutral and ion species as well as the electron density and temperature in ICP SF6/O2/Ar plasma mixture versus the ICP machine parameters. Such output parameters are introduced as input parameters in the sheath model and silicon etching model. Cellular Monte-Carlo method is used to describe the plasma surface interactions in a probabilistic way for silicon etching trough the mask.

The aim of this work is to validate the set of simulation and show the influence of some input parameters (Rf power, pressure, gas flow rates and bias voltage) on the etching processes.

Particular attention is paid on the study of the effect of the oxygen fraction on the evolution of both the SF6/O2/Ar plasma kinetic and the silicon etch profile trough the mask. The simulations results show that up to 10% of O2, diminution of the undercut characterized by the surface etching under the mask is observed while beyond this value, the etching rate is considerably decreased. This is due to the domination of the passivation process by oxygen on the silicon trench bottom.

PS-ThP-13 Two Dimentional Visualization of Oxidation Effect of Scalable DBD Plasma Irradiation using KI-starch Solution
Kazunori Koga, Takaaki Amano, Thapanut Sarinont (Kyushu University, Japan); Toshiyuki Kawasaki (Nippon Bunri University, Japan); Giichiro Uchida (Osaka University, Japan); Hyunwoong Seo, Naho Itagaki, Masaharu Shiratani, Yoshimichi Nakatsu, Akiyo Tanaka (Kyushu University, Japan)
Biomedical applications of plasmas have been extensively explored by applying various plasma sources such as corona discharges, dielectric barrier discharges (DBD), gliding arc, and spark discharges. Quantitative evaluation of oxidation effect of these plasma sources is crucial to select one of the sources appropriate to an application, because most applications employ the oxidation effect. Here we have assessed the oxidation effect of our scalable DBD plasmas using KI-starch solution, the color of which changes from transparent to purple by the oxidation of I- to I2 [1]. Experiments were conducted using the scalable DBD device of 40x44 mm2 in discharge area [2, 3]. 360 μl of solution of 0.5 % potassium iodide and 0.5 % starch was put in each well of a 96 well plate. The well plate was set at 3-10 mm below the electrode and then the solution was irradiated by the discharge plasma. The discharge voltage and current were 9.2 kV and 0.2 A. The discharge duration was 15 s. After the plasma irradiation absorbance at 560 nm of the solution in each well was measured with a plate reader (BioTeK Synergy HT). Two dimensional spatial profiles (71x54 mm2 in area) of the oxidation effect was obtained by one plasma irradiation experiment. At y= 3 mm below the electrodes the absorbance is nearly constant of 2.6 O.D. under the electrode area, while it sharply decreases to 0.1 O.D. at x= 9 mm outside from the electrode edge x= 0 mm. At y= 10 mm, the absorbance is 2.6 O.D. at the center of the electrodes and gradually decreases even under the electrode area with increasing the distance from the center. It becomes 0.04 O.D. at x= 9 mm. Nonthermal air plasma provides ROS, RNS, charged species and photons to the well plate. Among these species, ROS and charged species are important oxidation species based on the experimental results.

This work was partly supported by KAKENHI Grant Numbers 24108009 and 24340143.

[1] T. Kawasaki, et al., IEEE Trans. Plasma Sci. 42, 2482 (2014).

[2] T. Sarinont, et al., JPS Conf. Proc. 1, 015078 (2014).

[3] S. Kitazaki, et al., Curr. Appl. Phys., 14, S149 (2014).

PS-ThP-14 Sensitivity Enhancement of RF Plasma Etch Endpoint Detection With K-means Cluster Analysis
Honyoung Lee, Haegyu Jang, Hakseung Lee, Heeyeop Chae (Sungkyunkwan University, Republic of Korea)
Plasma etch endpoint detection (EPD) of SiO2 and PR layer is demonstrated by plasma impedance monitoring in this work. Plasma etching process is the core process for making fine pattern devices in semiconductor fabrication, and the etching endpoint detection is one of the essential FDC (Fault Detection and Classification) for yield management and mass production. In general, Optical emission spectrocopy (OES) has been used to detect endpoint because OES can be a simple, non-invasive and real-time plasma monitoring tool. In OES, the trend of a few sensitive wavelengths is traced. However, in case of small-open area etch endpoint detection (ex. contact etch), it is at the boundary of the detection limit because of weak signal intensities of reaction reactants and products. Furthemore, the various materials covering the wafer such as photoresist (PR), dielectric materials, and metals make the analysis of OES signals complicated.In this study, full spectra of optical emission signals were collected and the data were analyzed by a data-mining approach, modified K-means cluster analysis. The K-means cluster analysis is modified suitably to analyze a thousand of wavelength variables from OES. This technique can improve the sensitivity of EPD for small area oxide layer etching processes: smaller than 2.0 % oxide area. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as EPD.
PS-ThP-15 The Study on the Etching Characteristics of the High Aspect Ratio Amorphous Carbon Layer(ACL)
Yonghyun Kwon, Zhang Gang, Kyoungsub Shin (Samsung Electronics Co., LTD., Republic of Korea); Geunyoung Yeom (Sungkyunkwan University, Republic of Korea)
High aspect ratio etch is the key process step in the fabrication of vertically stacked(3D) memory, which is currently the most promising approach for ultra high density and high performance data storage applications. Superior hole dry etch capability, such as wide aspect ratio coverage, good vertical profile control, short throughput, etc, determines the satisfying vertical extendibility, memory cell performance and yield of the 3D memory. The hole etch usually takes a long process time(e.g., >1000 s). Therefore, a fine patterned thick(e.g.,>10um) hard mask must be required. Amorphous carbon layer(ACL) is a proper hard mask material due to its advantageous properties such as high selectivity, ease of deposition and removal and fine profile controllability. In this study, the control knob of thick ACL etch is investigated and solutions for high aspect ratio ACL etch is proposed. By reviewing the scanning electron microscopy(SEM) image after ACL de-capsulation, major/minor axis and the angle is investigated, and ACL tilting and distortion is observed at the aspect ratio 17. A model of ACL tilting induced by wafer warpage and sheath tilting, and ACL distortion formation due to non-uniform indirect ion scattering and by-product deposition is proposed. In addition, possible solutions for improving the ACL tilting and distortion by tuning the bias power, pulse and COS gas flow rate is discussed.
PS-ThP-16 Carbon Dioxide and Methane Conversion Using Low Cost Microplasma Generation Devices
Yu-Hsin Huang, Cheng-Che Hsu, Chih-Ming Wang (National Taiwan University, Taiwan, Republic of China)

Microplasmas offer great potential in gas conversion. In this work, we present the use of a low cost microplasma generation device (MGD) for carbon dioxide and methane conversion. This MGD was made of double-side copper laminate (CCL) and the MGD electrode patterns were defined using the toner-transfer method, which does not require the use of cleanroom facilitates. Two types of system arrangements are tested. In the first arrangement, a MGD was placed in a closed controlled volume with the gas flowing into the system. In the second arrangement, the MGD was integrated with flow channels fabricated on Polydimethylsiloxane ( PDMS) devices, which allows for effective plasma-treated gas interaction. With the second arrangement, the conversion of CO2 was tested at the conditions of 10 KHz and 2.7 KVpp applied voltage, which yields the formation of CO as high as 40000 ppm, which is nearly seven times higher than that obtained using the first arrangement. We will also show the use of these systems to perform the conversion of CH4 into higher hydrocarbons. Finally, we will discuss the potential using this system for industrial manufacturing processes as a novel platform for gas conversion.

PS-ThP-17 A MEMS Approach to Making Quantitative Measurements of IIEE Yields in the Presence of Moderate Electric Fields
Keith Hernandez, Alex Press, David Urrabazo, Matthew Goeckner, Lawrence Overzet (University of Texas at Dallas)

Semiconductor MEMs mechanical switches are prone to failure due to electrical breakdown across gaps between contacts. Electrical breakdown is characterized by Paschen’s curve which is a function of both ion induced electron emission (IIEE) yield, electrode spacing, pressure and gas type. Paschen’s curve also applies to low frequency microdischarges where the IIEE is one important mechanism of electron emission. Despite the widespread impact of the electrical breakdown phenomenon, few direct measurements of IIEE yield from semiconductors exist. In addition, the semiconductor IIEE yield data currently available do not pertain to practical MEMS or DC microdischarge applications, since the measurements were made on atomically clean and electric field free surfaces. The electric field free aspect of the previous measurements is the result of the macroscopic scale of the electron detection system. To address this issue, we have designed and begun the fabrication of a microfabricated electron detection system (mEDS). This system consists of the basic components of a current-based electron detection system: Electron suppressor- to prevent electrons from escaping collection, an electron collector and sample. A guard layer was also introduced in between the electron suppressor and collector to mitigate leakage current. Unlike previously designed electron detection systems, this device is capable of imposing a controllable uniform electric field (up to 10 V/um). Thus, by integrating the mEDS with an ion source we will have the ability to make IIEE yield measurements under varying electric field conditions. Thus, measurements of field enhanced IIEE and/or ion enhanced field emission become possible. In addition, this mEDS structure can be built on to any substrate that can withstand 250 ⁰C and high vacuum. This poster will present the design, modeling and fabrication involved in bringing the mEDS to fruition.

Acknowledgement: This material is based upon work supported by the Department of Energy under Award Number DE-SC-0009308.

PS-ThP-18 Spectroscopic Investigation of Hydrocarbon Plasmas for Coating Applications
Sarah Siepa (Ruhr-University Bochum, Germany); Stefan Grosse, Marcus Guenther (Robert Bosch GmbH, Germany); Achim von Keudell (Ruhr-University Bochum, Germany)
Diamond-like carbon (DLC) is widely used in coating applications, e.g. as wear-resistant coating on automotive components. One of the common technologies to deposit such layers is by using hydrocarbon plasmas with feed gases such as methane or acetylene. In order to better understand the coating process and to be able to tailor the plasma to the optimum conditions for the specific components, various diagnostic methods are applied to an industrial-scale plasma reactor. Besides probe measurements and Quadrupole Mass Spectrometry (QMS), Optical Emission Spectroscopy (OES) is used. OES investigations have the advantage of being easily applicable even under industrial conditions, where access to the plasma is often limited and homogeneity requires the use of a non-disturbing diagnostic technique. From the spectroscopic measurements and the corresponding model, the excitation dynamics of the plasma is investigated. The plasma parameters electron density and temperature (mean energy) can be determined as a function of input parameters such as pressure, power and gas flow.
PS-ThP-19 From Plasma Reactor to Surface Level: Linking Plasma with Feature Profile Simulations
Sebastian Mohr, Anna Dzarasova (Quantemol Ltd., UK); Dimitrios Tsamados, Vaibhav Deshpande, Mohamed Oulmane (Synopsys LLC, Switzerland); Jonathan Tennyson (University College London, UK)

Competitiveness in semiconductors industry is based on the ability to quickly integrate advanced technologies in products and optimise manufacturing processes. Only a coherent preparation of these processes allows companies to design successful products. One of the most important steps in the production of semiconductors is the treatment of surfaces by means of plasma processing. The resulting surface profiles usually depend on process parameters such as power or pressure in a non-linear way and are hard to predict. Simulations of plasmas on reactor scale and feature scale modelling of the surface reactions are an alternative to trial and error, saving costs and providing insights in the physical processes. Such simulations require solid input data. In case of plasma simulations, the process parameters are needed; Feature scale models require the flux distributions of particles to the processed surfaces. These flux distributions must be obtained either experimentally, which is not always possible and reliable. Or they are taken from simulations, which requires the output from the plasma simulation to be compatible with the input for the feature scale model. We aim to simplify these investigations by linking two software packages: Quantemol-VT (Q-VT) by Quantemol Ltd. and Sentaurus Topography 3D by Synopsys. Q-VT is a 2D plasma simulation tool, based on the Hybrid Plasma Equipment Model (HPEM) by Mark Kushner [1], which allows the simulation of plasmas in industrial tools on reactor scale level for a wide range of process parameters. The graphical interface of Q-VT allows for an easy setup of HPEM simulations for diverse chamber designs. As a result, Q-VT produces flux distributions of species relevant for surface reactions as a function of both the energy and the angle. These distributions are used as input for Sentaurus Topography 3D, a three dimensional TCAD feature scale etching and deposition simulator for plasma processes used in semiconductor manufacturing: PMC (particle Monte-Carlo) module which is controlled by a set of user-defined surface reactions simulates different phenomena such as adsorption and re-emission, ion reflection, ion-enhanced chemical and physical sputtering, and re-deposition of reaction products, predicting different effects of interest such as micro loading, bowing, micro trenching etc. Linking these two simulations allows comprehensive studies of surface profiles without any additional steps. The validation of the linked tools is currently under progress by comparing its results with published data on common surface processes. First results will be presented.

[1] M J Kushner, J. Phys. D: Appl. Phys. 42, 194013 (2009)
PS-ThP-20 Atomic Layer Etching of SiO2 Using Self-Limited Fluorocarbon Films
Sanbir Kaler, Qiaowei Lou, Vincent Donnelly, Demetre Economou (University of Houston)

Atomic Layer Etching (ALEt) with monolayer accuracy is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-10 nm structures with uniform etching rates and higher selectivities. Here we present beam studies of ALEt of SiO2. Substrates consisting of thin layers of SiO2 on Si are placed in an ultrahigh vacuum (UHV) chamber, cleaned with an oxygen plasma beam, and then exposed first to a beam of species effusing from a C4F8 plasma, followed by bombardment by an Ar+ beam. The C4F8 plasma beam deposits a fluorocarbon (FC) film and the Ar+ beam removes the film along with a small amount of SiO2. The process is then repeated. Multiple times between each step, the sample is moved under vacuum to another UHV chamber equipped with x-ray photoelectron spectroscopy (XPS). Changes in FC film thickness and composition are measured as a function of exposure time to the C4F8 plasma beam. Similarly, changes are measured in the FC film and SiO2 film thickness and composition as a function of Ar+ beam exposure time. The FC film first deposits rapidly, then nearly saturates at a thickness of 0.8 nm and then continues to grow at a much slower steady rate. Stopping at this saturation FC film thickness and exposing the sample to a ~120 eV Ar+ beam removes 0.08 nm of SiO2, concomitant with the loss of CF3 and CF2 moities (but not of C and F), and then continues to sputter SiO2 at a much slower rate. The 7:1 F:C ratio observed after 0.8 nm FC film deposition is much higher than the F:C ratio computed from a peak fit of the C(1s) high resolution spectrum (1.3:1), indicating that most of the F is bound in the SiO2 film. Further details and mechanisms for the ALEt process will be presented.

PS-ThP-21 Amorphous Hydrogenated Boron Carbide: A New Color in the Materials Palette for Multiple Patterning
Michelle Paquette, Bradley Nordell, Thuong Nguyen, Shailesh Dhungana, Anthony Caruso (University of Missouri-Kansas City); William Lanford (University at Albany-SUNY); Patrick Henry, Sean King (Intel Corporation)

Advanced metal interconnect patterning schemes require a number of materials with specific characteristics and unique etch properties. Currently, many such materials fall within the Si/O/C/N/H phase diagram, and it is becoming increasingly difficult to achieve adequate etch selectivity. Amorphous hydrogenated boron carbide (a-BxC:Hy) is a unique material with appealing properties for a variety of interconnect applications including a low dielectric constant and excellent mechanical properties. Importantly, because it breaks away from the Si/O/C/N/H stoichiometry, it offers unique etch chemistries, and may therefore represent an important addition to the multiple patterning tool box. We investigate the wet and dry etch behaviors of a-BxC:Hy films of varying atomic composition and density in comparison with those of silicon-based oxides, nitrides, and carbides.

PS-ThP-22 Properties of a Magnetic Neutral-Loop Discharge Plasma
Weiyi Li, Sang-heum Kim, Joshua Blatz (University of Wisconsin-Madison); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)

Since Uchida[1] invented the magnetic neutral loop discharge (NLD) plasma in 1994, this technique has been investigated by various approaches. This work utilizes an NLD plasma reactor using a stainless-steel vacuum chamber, instead of the more commonly used quartz chamber in previous work .

The cylindrical vacuum chamber is placed in the middle of three sets of magnet coils. With DC currents flowing in opposite direction in the middle set compared with the ends sets of coils, a circular path on which the magnetic field is zero, i.e. a neutral loop (NL), can be produced in the middle of the chamber. In order to generate plasma, 13.56 MHz RF is inductively coupled into the chamber through a quartz window on one end of the chamber with a spiral antenna. The reactor can be operated in three modes, (1) ICP mode when there are no currents in the magnet coils, (2) NLD mode when there is an oppositely directed DC current in the middle magnet coils and the current in the middle is weaker than the currents on the sides, or (3) Enhanced-ICP (E-ICP) mode when current in the middle coils is stronger than currents on the sides. In NLD mode, the plasma was observed to be brighter near the NL than along the axis of the vacuum chamber. In the E-ICP mode, the plasma was observed as bright ball-shape on the axis. This difference was further confirmed with two measurements: The optical spectrum using an OceanOptics spectrometer and the density and temperature using a Langmuir probe. By adjusting the ratio of the DC currents flowing in the magnet coils, the position of the NL can be changed. Both experiment and simulation show that the glow follows the change of NL, especially at low pressure (<1 mTorr).

This work has been supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and by the National Science Foundation under Grant CBET-1066231.

[1] T. Uchida, "Application of radio-frequency discharged plasma produced in closed magnetic neutral line for plasma processing," Japanese Journal of Applied Physics, vol. 33, pp. L43-L44, 1994.

PS-ThP-23 Impact of Charge Separation Grid Design on Wafer Level Device Performance in an Advanced Plasma Asher
Hai-Au Phan-Vu, Shawming Ma (Mattson Technology)

Tradition plasma asher design depends on the grid, or baffle between source and wafer to separate ionized species from activated neutral so more activated neutral is preferred to reach wafer surface to react with photoresist. However, the more you separate the neutral species, the lower the ash rate in general due to loss mechanism during the separation process. In addition, the grid design also controls the ash rate uniformity and affects many other critical device parameters to the final device wafer performance. Therefore, it is extremely important to choose the correct design to the right process application. This paper discussed the impact of advanced plasma asher grid design on the device performance in process uniformity and device response. It is found that grid materials are critical to reduce the ionized species to reach the wafer surface with the conductive grounded grid to be the best for ionized species separation. In addition, the conductive grounded double grid design can not only have better particle and defect performance but also remove any possible UV impact on device from strong UV generated from high ash rate high power recipes. This has contributed to better yield in the production environment down to 10nm technology node.

PS-ThP-24 Fluorophore based Sensor for Oxygen Radicals in Processing Plasmas
Faraz Choudhury, Grzegorz Sabat, Michael Sussman (University of Wisconsin-Madison); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)

A high concentration of free-radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of such free radicals is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye (Alexa 488) that selectively reacts with oxygen radicals. It is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma which is indicated by degradation of its fluorescence. The results show that the fluorescence degrades for about 60 seconds and reaches a constant fluorescence value after which little degradation is observed for longer exposure times. As plasma power was increased, the quenching of the fluorescence significantly increased as expected, because the radicals have higher energies as microwave power was increased. Although they are commonly used in liquid form, the dye can also be immobilized on a surface using an appropriate volatile solvent. Both immobilized and liquid fluorophores were used and the results indicate that both states function effectively under vacuum conditions. The immobilized dye can be ‘painted’ on a surface and the radical density incident on the surface can be inferred from fluorometric analysis. The immobilized technique can be very useful for many plasma applications - especially when used under vacuum since it prevents the dye from evaporating.

This work has been supported by the Semiconductor Research Corporation under Contract No. 2012-KJ-2359 and the National Science Foundation under Grant No. CBET-1066231.

PS-ThP-26 Capacitively Coupled Indirect Plasma Discharge - 2 Dimensional Fluid Model Simulation Study
Pei-Siou Luo, Teng-Yen Chang (National Tsing Hua University); Keh-Chyang Leou (National Tsing Hua University, Taiwan, Republic of China)
Capacitively coupled indirect plasma (CCIP) where a grounded mesh is placed between the two electrodes of a conventional CCP have gain a great deal of interests recently for applications where uniform radicals generated by the plasma are needed for material processing while harmful energetic ions or high energy photons should be minimized. In this study, a rf (13.56 MHz) CCIP Ar/H2 discharge has been investigated by numerical simulation based on fluid model, with 12

species and 28 gas phase reactions. Simulation results show that plasma density, as well as the number densities and fluxes of H, important reactive species for applications, increase with rf power, as expected. Simulation Results show that, as a result of the grounded mesh/grid that separating the two chambers, the flux of both ionic and reactive neutral species drop significantly, by a factor of ~1/1000 - 1/10000, from the top main chamber to the bottom drift chamber. More importantly, the plasma density also decreases significantly and the resulting plasma potential in the bottom chamber is only ~10% of that in the top main chamber. This, in term, implies that the ion energy flux incident on the grounded bottom electrode should be minimal, and thus damage to the wafer surface due to ion bombardment can be significantly reduced. Detailed simulation results will be presented.

*Work supported by the National Science Council of ROC.

PS-ThP-27 Plasma Simulations with Adaptive Mesh Refinement and Hybrid Kinetic-Fluid Models
Vladimir Kolobov, Robert Arslanbekov (CFD Research Corporation)

This paper describes the development of plasma simulation tool using adaptive kinetic-fluid models for modern heterogeneous computing systems. The new tool will have a) ability to dynamically switch between fluid and kinetic approaches, b) robust mesh generation and adaptive mesh refinement algorithms, c) implicit solvers adapted for massively parallel CPU-GPU systems.

For gas dynamics in mixed rarefied-continuum regimes, our Unified Flow Solver (UFS) combines a tree-based algorithm of Adaptive Mesh Refinement (AMR) with cell-by-cell selection of kinetic (Boltzmann) and fluid (Navier-Stokes) solvers [1]. This Adaptive Mesh and Algorithm Refinement (AMAR) methodology provides the highest level of adaptation to the solution being computed. The kinetic module in UFS can solve kinetic equations by either the Discrete Velocity Method (DVM) [2] or by the statistical particle methods (such as Direct Simulation Monte Carlo (DSMC)) [3]. We have ported the kinetic solvers to Graphics Processing Units (GPU) and demonstrated double digit speedups on single GPU and good multi-GPU scaling [4].

The extension of AMAR to plasma simulations poses extra challenges due to disparity of electron and ion/neutral masses [5]. In this paper, we will show examples of plasma simulations with AMR capabilities using multi-fluid plasma models and describe the implementation of an implicit electrostatic Particle in Cell with Monte Carlo Collisions (PIC-MCC) module. The capabilities of the new PIC-MCC module will be demonstrated for positive column of DC discharges in weakly-collisional regime. We will describe algorithms for porting the PIC-MCC module to GPU and evaluate acceleration that can be achieved. Finally, we will discuss physics-based criteria for the kinetic-fluid decomposition for hybrid plasma simulations.


[1] V.I. Kolobov, R.R. Arslanbekov, V.V. Aristov, A.A. Frolova, S.A. Zabelok, Unified solver for rarefied and continuum flows with adaptive mesh and algorithm refinement, J. Comput. Phys. 223 (2007) 589

[2] R.R. Arslanbekov, V.I. Kolobov, and A.A. Frolova, Kinetic Solvers with Adaptive Mesh in Phase Space, Phys. Rev. E 88 (2013) 063301

[3] R.R. Arslanbekov, V.I. Kolobov, J. Burt, E. Josyula, Direct Simulation Monte Carlo with Octree Cartesian Mesh, AIAA 2012-2990

[4] S.A. Zabelok, R.R. Arslanbekov, and V.I. Kolobov, Adaptive Kinetic-Fluid Solvers for Heterogeneous Computing Architectures, http://arxiv.org/abs/1503.00707

[5] V.I. Kolobov and R.R. Arslanbekov, Towards Adaptive Kinetic-Fluid Simulations of Weakly Ionized Plasmas, J. Comput. Phys. 231 (2012) 839

PS-ThP-28 Meshed Shielding Grid Added Electron Beam Excited Plasma Apparatus for Neutral Nitriding of Precision Components
Petros Abraha (Meijo University, Japan)

In this research, a customized equipment that utilizes electron beam gun and processing chamber with a meshed shielding grid that comprises of a discharge region, acceleration region and processing region is used to perform diffusion based nitriding treatment of steel components.

The electron beam gun starts discharge when an argon gas is introduced into the discharge region, and DC voltage is applied between the heated cathode and the discharge electrode. Argon is then ionized resulting in a large number of electrons in the discharge region. The electrons are then accelerated by the acceleration voltage Va applied between the discharge electrode and the anode. The generated electron beams are delivered into the processing chamber to produce nitrogen plasma. The plasma nitriding apparatus includes a meshed electrode mounted on the stage and electrically insulated from the processing chamber. The meshed shielding grid is made of stainless and formed into a cylindrical shape. The metal mesh is formed of a wire which has a diameter of 0.16 mm and having 40 meshes per inch. The sample to be treated is placed in the meshed electrode. The plasma nitriding apparatus includes a DC power supply device that bias the sample to a positive potential higher than the plasma potential at the location where the sample is set within the meshed shielding grid. The plasma nitriding apparatus also includes DC power supply that biases the meshed shielding grid to a negative potential.

The results of the nitrided samples, within the meshed shielding grid, for 3, 6, and 12 hours show that the treated surfaces were without any compound layer but composed of only diffusion layer whereby the measured thicknesses were about 45 μm, 65 μm and 90 μm respectively. For comparison, samples were treated under the same conditions but without the meshed shielding grid. The results reveal that in addition to the diffusion layer of about the same thickness, a 5 μm thick compound layer was formed in all three samples. This confirms that the meshed shielding grid is effective in diffusion based nitriding.

PS-ThP-29 Deposition of Silicon Nitride Coatings by Pulser Laser Deposition Technique
Johans Restrepo (Universidad Nacional Autonoma de Mexico); Enrique Camps (Instituto Nacional De Investigaciones Nucleares, Mexico); Stephen Muhl (Universidad Nacional Autonoma de Mexico)

The Silicon Nitride present problems to be deposited by Pulsed Laser Deposition (PLD) Technique using a pure nitrogen atmosphere and silicon target because the low nitrogen reactivity produce a partial oxidation during the deposition such as the oxygen amount reach values around to 20at%. The aim of this research was deposited silicon nitride at different nitrogen pressure and energy density to found the deposition parameters to reduce the oxygen included on the coatings. The coating were characterized by perfilometry, Routherford Back Scattering (RBS), Raman Spectroscopy, UV-bis Spectroscopy and Nanoindentation while the Plasma properties were characterized by Langmuir probe and Optical Emission Spectroscopy (OES). The OES results showed that the maximum nitrogen activity is reached at 4x10-3mbar (N2+ 391.4nm) and decrease at the nitrogen pressure increase. The average ion kinetic energy and the plasma density decrease from 120 to 100eV and 3.26x1013 to 4.89x1011 ion/cm3 at nitrogen pressure of 4x10-3 and 6x10-2 mbar respectively.

PS-ThP-30 Hybridization of CMOS/MEMS Processes for Silicon Photonics Technology
Keizo Kinoshita, Takanori Shimizu, Yasuhiko Hagihara (PETRA, Japan); Tsuyoshi Horikawa (AIST, Japan); Koichi Takemura, Tohru Mogami (PETRA, Japan)

Optical interconnect is a promising technology for wide-band and large-capacity data communications instead of electrical interconnect. Silicon Photonics (SiPh) has advantages of easy and low-cost device fabrication on a chip, and contributes to reduce power consumptions. Therefore, acceleration of the SiPh development for optical interconnect becomes crucial. Compared to the conventional approach to fabricate integrated optical circuits which need complicated assembly process using many optical modules, such as light sources, optical signal modulators, and photodetectors on a board, the SiPh technology is basically suitable for device integration due to its reduced number of parts fabricated by CMOS-process. However, there still remains some process issues, such as the hybridization of CMOS and MEMS processes. The SiPh devices are usually fabricated on silicon-on-insulator (SOI) wafers which have very thick (~3 µm) BOX layer. The waveguides are patterned SOI layer with ultra-low line-edge-roughness (LER) by applying leading-edge CMOS processes. After the patterning, they are covered with 2 µm thick SiO2 film as an upper clad material. To integrate a light source on a transmitter module chip, a laser diode (LD) is equipped at the end of the waveguide after fabricating pedestal structure for the LD by deep etching on the SOI wafer surface [1]. Thus, the deep SiO2 (about 5 µm thick) etch should be carried out at the first step followed by shallow silicon etch to adjust the height of the laser light output of the LD on the pedestal with the waveguide buried in the SiO2 clad layer. This deep SiO2 etch process is not a conventional CMOS process, and is similar to a MEMS process. Although a deep silicon etch process has already established for through-silicon-via (TSV) fabrications or for some MEMS applications, the deep SiO2 etch isn’t the one commonly seen. Moreover, a smooth SiO2 step structure with almost vertical sidewall angle is required to use for the LD pedestal to achieve enough coupling efficiency of the light. This time, a 10 µm thick resist material for MEMS process was applied for the deep SiO2 etch process. An ICP plasma etch system was used with a fluorocarbon gas chemistry. Uniformity of 5.3 % over the 300 mm wafer with its sidewall angle over 81° was achieved. However, moderately large LER was observed on the sidewall of the SiO2 step. This LER would be lead to the reduction of the coupling efficiency. The LER reduction could be an issue for the future device performance improvement.

This work is supported by NEDO. [1] T. Shimizu, et al., Photon. Res., 2, A19 (2014).

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule