AVS2015 Session PS+TF-WeA: Plasma Deposition and Plasma Assisted ALD

Wednesday, October 21, 2015 2:20 PM in Room 210A

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule

Start Invited? Item
2:20 PM PS+TF-WeA-1 Plasma Prize Talk: Plasma Processing of Materials: What makes Plasma Special and Future Outlook?
Richard van de Sanden (DIFFER)
Plasma processing of materials is at the heart of present day technologies such as found in the manufacturing of IC’s, liquid crystal displays and large scale production of solar cells, to name only a few. For a basic understanding of the key processes determining the processing quality advanced in situ diagnostics for both the plasma phase as well as diagnostics to characterize the plasma-surface/material interaction are required. This basic understanding will enable control over these processes, such as the controle of the ion energy distribution function by tailoring the bias voltage on the materials processed.

The aspects which differentiates plasma processing from other processing technologies such as the presence of charge, short living reactive radicals and nonequilibrium species distribution to name a few, will be discussed. In a future outlook I will argue that the nonequilibrium aspects, especially connected to the vibrational populations in molecular plasmas, are not yet exploited to its full extent. If we succeed in controlling the population of the vibrational states many novel applications in catalysis, gas and surface processing of materials come within reach.

3:00 PM PS+TF-WeA-3 Feature Scale Simulation of Atomic Layer Deposition via FPS3D
Paul Moroz (Tokyo Electron US Holdings); Daniel Moroz (University of Pennsylvania)

Atomic layer deposition (ALD) allows accurate atomic-scale deposition of materials layer-by-layer with almost conformal feature profiles. Together with atomic-layer etching (ALE), it provides the tools necessary for satisfying the ever increasing demands for improved accuracy and miniaturization, and is becoming one of the leading methods among advanced semiconductor technologies. ALD requires cycling processing, with each cycle consisting of at least two timesteps, each timestep having its own parameters corresponding to different fluxes of species and different surface chemistry. Numerical simulation of ALD could be accomplished at the levels of quantum chemistry (QC), molecular dynamics (MD), or feature-scale (FS) calculations. While QC provides an ab-initio approach, MD depends on approximations of interactions with inter-atomic potentials, and FS methods rely on reactions between species. The reactions used in FS simulations could be estimated from experiments or they could be taken from MD or QC calculations. We present here numerical simulations of ALD for the case of deposition of silicon nitride film onto silicon utilizing dichlorosilane gas and ammonia plasma. Our calculations were carried out via the feature-scale simulator FPS3D [1-3], which can efficiently simulate multi-timestep operations and which allowed us to replicate the results of considered ALD experiments. In correspondence with the experiments, the reactions were selected such that the deposition of a single monolayer was produced not in a single cycle, but in two cycles, even when the duration of each timestep was long enough for the processes to saturate. FS simulations run much faster and can operate on a much larger scale than can MD and, especially, QC methods. FS methods can efficiently simulate processing of entire features with complex profiles both in 2D and 3D. We simulate the feature profiles obtained during processing at different conditions and initial settings, and we discuss various effects which could change the roughness of profiles. We also analyze the effects of partial conformity of obtained profiles and the effects of incomplete ALD, during which some reactions may not self-limit due to insufficient processing time.

[1] P. Moroz, IEEE Trans. on Plasma Science, 39, 2804 (2011).

[2] P. Moroz, D. J. Moroz, ECS Transactions, 50, 61 (2013).

[3] P. Moroz, D. J. Moroz, Journal of Physics: CS 550,012030 (2014).

3:20 PM PS+TF-WeA-4 Plasma Enhanced Atomic Layer Deposition Applications using an Ion Source
Frank Papa (Gencoa USA); Victor Bellido-Gonzalez, Heqing Li (Gencoa Ltd, UK); HaDuong Ngo (University of Applied Sciences Berlin, Germany); Kevin Kröhnert (Fraunhofer Institut IZM Berlin, Germany); Oswin Ehrmann, Klaus-Dieter Lang, Piotr Mackowiak, Piotr (TU Berlin, Germany); William Sproul (Reactive Sputtering, Inc)

Atomic Layer Deposition (ALD) has been slowly gaining acceptance in the field of thin film deposition. Although firstly described in the 1960’s as molecular layering by researchers in the former USSR, the introduction of the technology to the world came via Tuomo Suntola’s group in 1974 (1). The application to ZnS highly ordered films was a real success, and made the electroluminescent displays a reality. Since then the concept has been applied into other technology areas. There are many benefits of ALD, however, in terms of deposition rates, film stress and management of reactive gas species in complex 3D structures there is still a long road ahead.

In order to lower the film stress plasma technology has been applied. Plasma Enhanced ALD (PEALD) has been introduced in order to lower the temperature requirements for the ALD process and also in order to control the properties of the ALD deposited film. The industrialization of such process presents a number of challenges. In PEALD, it is of interest to control the nature and degree of interaction of such plasmas with the surface chemistry. Plasma sources which can control the energy of the ion beam are of special interest. Also, from the industrialisation point of view the sources would need to be able to upscale the process. For those reasons, the authors believe that a Linear Ion Sources (LIS) could help move ALD processes into mass production. LIS’s have been slowly pushing their way through into vacuum coating technology market for over 15 years. Only last year a small circular ion source, which can replicate the functional properties of large LIS’s was introduced (2). This development has enabled rapid transitions from prototyping to manufacturing. The use of such a source is interesting for PEALD as the processes developed in the lab could be easily implemented at an industrial level. As part of the investigation the authors have used the ion source PEALD process on etched silicon wafers in order to produce low temperature conformal depositions. The wafers were etched with different features, like deep aspect ratio trenches, which are of great interest in today’s semiconductor devices. Results will be presented.

1.- Riikka L. Puurunen, “A Short History of Atomic Layer Deposition: Tuomo Suntola’s Atomic Layer Epitaxy” http://onlinelibrary.wiley.com/doi/10.1002/cvde.201402012/pdf

2.- F. Papa, D. Monaghan, V. Bellido-Gonzalez, R. Brown, A. Azzopardi, I. Sorzabal-Bellido, “New Circular Ion Sources for Fast Process Development”, Proceedings to the 2014 Society of Vacuum Coaters Conference, Chicago, USA, 505/856-7188, pages 187-191.

3:40 PM BREAK
4:20 PM PS+TF-WeA-7 Plasma-Assisted ALD of SiNx: The Surface Chemistry Studied by Infrared Spectroscopy
Roger Bosch, Lidewij Cornelissen, Chaitanya Ande, Erwin Kessels (Eindhoven University of Technology, The Netherlands)

Silicon nitride (SiNx) deposited by ALD is gaining a lot of interest lately, as it allows for conformal films prepared at low temperatures while maintaining a high film quality and uniform thickness. This is challenging for conventional deposition techniques, such as CVD and PECVD. Within the work presented in this contribution, the growth of SiNx by ALD and the associated surface chemistry have been studied. The chosen plasma-assisted ALD process employs bis(tertiary-butylamino)silane (SiH2[NHC(CH3)3]2,BTBAS) as precursor and N2 plasma as co-reactant. Various in situ studies have been performed and the experimental work has been complemented with DFT calculations.

More particularly, to study the surface chemistry we developed a versatile setup, which combines ALD with Fourier transform infrared spectroscopy. A sample manipulator was designed that enabled us to study the species present at the surface, with a submonolayer sensitivity, as a function of substrate temperature (100-300°C) and incident angle of the IR beam. The setup also allowed for gas phase measurements.

Gas phase infrared measurements have been performed to identify which species were present in the reactor after the precursor dose and N2 plasma exposure. The measurements revealed that tert-butylamine ((CH3)3CNH2) is the main reaction product after precursor exposure. This indicates that the Si-N bond in the precursor molecule breaks when it interacts with active sites at the surface.

Surface infrared measurements have been conducted at different temperatures to determine which species were present at the surface after one of the ALD half cycles, i.e. after the BTBAS half cycle and after the N2 plasma half cycle. Amongst others, a pronounced density of Si-H at the surface has been established, and at lower temperatures (~100°C) also a signal from C-H groups was clearly observed after BTBAS dosing. From these infrared measurements, complemented with DFT calculations and additional thin film studies, we propose a mechanism for the growth of SiNx by ALD using BTBAS and N2 plasma, which will be discussed during the presentation.

4:40 PM PS+TF-WeA-8 Structural Characterization of Surface Dielectric Barrier Discharges (SDBD) for Atmospheric Pressure Plasma Enhanced Spatial ALD (PE-S-ALD)
Yves Creyghton, Jurjen Emmelkamp, Fred Roozeboom (TNO Technical Sciences, Netherlands)

Spatial ALD (S-ALD) is an emerging technology with substrates passing a series of spatially separated gas injector zones. This concept enables up to 100x faster deposition rates with respect to conventional ALD. TNO constructed an S-ALD process toolbox for high throughput ALD on wafers, sheets and foils. Recently, SDBDs were selected to extend the toolbox to plasma enhanced ALD. Implementing an SDBD source in an existing rotary wafer reactor, homogeneous PE-S-ALD was shown for the first time. The operating temperature was reduced down to 80°C allowing deposition on polymer foils. Using plasma in N2, N2-O2 and N2-H2, new materials were made like TiN, SiO2, TiO2 , InZnO and Ag, so far inaccessible for atmospheric pressure S-ALD.

Contrary to low-pressure plasma, atmospheric plasma tends to filamentary structures (micro-discharges). The past 2 decades, world-wide efforts have been undertaken to improve plasma homogeneity in DBD systems with the electrodes located at both substrate sides and using He gas, short pulses, high frequencies and gas flows. Single-sided SDBD electrode configurations provide remarkably homogeneous and reproducible plasmas in practical gases. Usually the electrical discharge is a mixture of surface glow and micro-discharges, the latter being generated with a sufficient density to reach homogeneous deposition. There is a striking analogy between the saturating charge principle of the planar SDBD and the surface limited surface reaction, as characteristic for ALD processes. Merging both technologies yields the best of two worlds.

As a standard condition, SDBD plasma has been generated parallel and close to the rotating substrate. Obviously, when conductive, semiconductor or highly capacitive substrates are used the SDBD plasma may generate filaments towards the substrate and/or electrostatically interact with electric field sensitive structures. Thus we built various alternative remote SDBD sources minimizing electrical-substrate interaction. For the study of the influence of geometry and flow parameters, thin films were deposited by PE-S-ALD using the different sources.

Also static substrate tests were done with both parallel plasma and remote jet treatments using amorphous C-layer etching to visualize the plasma reactivity and homogeneity. The plasma structures visualized by C-layer transmission show the importance of control of flow and plasma homogeneity. The spatial discharge study is complemented by visual light photography and IR thermography. The experimental data have been validated with a CFD model of plasma species transport yielding a deep understanding of the effects of flow, diffusion and temperature of the SDBD source.

5:00 PM PS+TF-WeA-9 Plasma Deposited Barrier Coatings on Plastics: Plasma Characterization and Thin Film Analysis
Peter Awakowicz, Felix Mitschker (Ruhr-University Bochum, Germany); Andy Nave (INP-Greifswald, Germany); Jürgen Röpcke (INP-Greifswald); Guido Grundmeier (Univ. of Paderborn)

Thin SiO2 barrier layers are deposited by microwave plasma enhanced CVD (PECVD). The pulsed mw power is fed in with a plasma line system. In addition, the flat or hollow PET substrates are rf-biased in order to increase film quality and minimize defect density.

Plasma diagnostics is performed with quantitative optical emission spectroscopy (Q-OES) based on a collisional radiative model for nitrogen and confirmed with multipole resonance probe measurements. In addition, nine carbon hydride and carbon oxide species are measured by laser absortion IR measurement while plasma diagnostics is performed.

Analysis of the 30 nm thin films are performed by XPS measurements and defect density measurements. Film porosity is measured with proton exchange measurements and cross linking by looking at the fine structure of the Si 2p peak with highly resolved XPS.

Finally it can be shown that good barrier improvement is realized with the applied deposition method on PET foils and a correlation between plasma parameters and film qualtiy is presented.
5:40 PM PS+TF-WeA-11 Flexible, Durable, Self-Cleaning Optical Coatings for Optoelectronics
Thomas Fuerst, Colin Wolden (Colorado School of Mines)

A wide variety of applications such as solar cells, displays, and electrochromics require coatings that manipulate light and provide protective barriers. Silica-titania multilayers have long served as optical components on rigid substrates. Expanding this platform to flexible substrates would allow compatibility with roll-to-roll manufacturing, which would increase manufacturing efficiencies while decreasing costs. Incorporating self-cleaning properties into these coatings would enable longer lifetime, improved efficiency, and reduced maintenance costs for the devices. In this work we describe the design, fabrication, and evaluation of flexible multilayer coating deposited by plasma-enhanced chemical vapor deposition (PECVD) at low temperature. The high and low refractive index materials were TiO2 and silicone, respectively. PECVD enables the deposition of high quality material at temperatures compatible with polymeric substrates. Silicone is a mechanically robust polymer that imparts flexibility to the coatings and TiO2 provides UV protection and self-cleaning functionality. The optical stacks were designed using commercial software and validated using UV-Vis-NIR spectrophotometry. The nanoscale control achievable in this process was demonstrated through the fabrication of several Bragg mirrors that were designed to produce blue, green, and red coatings. A five layer broadband anti-reflective (AR) coating was designed and deposited onto a variety of substrates including 1 mm glass, 3 mm FTO-coated glass (TEC-15), flexible polyethylene terephthalate (PET) thin films, and CdTe solar cells built on TEC-15. The absolute transmission of AR-coated glass and PET samples increased by ~5% across the visible spectrum, and solar cells experienced a commensurate boost in efficiency due to improved short circuit density. The multilayer coatings on PET proved to be mechanically robust, as their optical properties remain unchanged after 50,000 cycles of automated bend testing, including both tensile and compressive stress. Lastly, a five layer IR reflector was designed and applied to 1 mm glass and PET. The visible transmittance remained unchanged while the near IR (800-1200 nm) transmission was reduced from 88% to 27% on PET. Studies are underway to assess the long term durability of these coatings to UV exposure and examine the self-cleaning capability through measurements of contact angle and contaminant removal. These results indicate that these nanolaminates show great promise for use in a variety of flexible optoelectronic applications.

6:00 PM PS+TF-WeA-12 Microwave Plasma Assisted Chemical Vapor Deposition of High Quality, Single Crystal Diamond Substrates
Shreya Nad, Yajun Gu, Jes Asmussen (Michigan State University)

Single crystal diamond (SCD) substrates have very useful properties like their high thermal conductivity, extreme hardness and chemical inertness and are thus deemed to be novel materials for applications in a varied range of fields like high power electronics and X-ray optics. Microwave Plasma Assisted CVD (MPACVD) is one of the most suitable and efficient methods for the synthesis of diamond substrates. MPACVD is carried out in a microwave cavity plasma reactor (MCPR) which consists of a brass cavity to contain the microwave power, a quartz dome and a water cooled substrate holder.

The reactor is first tuned using the 4 length variables to achieve maximum power coupling efficiency. A mixture of methane and hydrogen gasses flows within the quartz dome. The cavity probe excites the plasma at ~ 5 Torr. The plasma discharge is positioned directly above and adjacent to the seed substrate for the growth process to proceed efficiently. Pressure is then gradually increased and depending upon the growth conditions maintained at a high synthesis pressure of 180 – 240 Torr. The substrate temperature is maintained within 1050 – 1150°C for a smooth, high rate growth of SCD. The stable plasma creates a chemically and thermally suitable environment for SCD deposition. The high pressure and high gas temperatures at the core of the plasma discharge break down the methane and hydrogen gas molecules into the important growth radicals. These growth radicals (like CH3, C2H2 etc.) then diffuse through the boundary layer between the plasma and the seed substrate and then deposit on the substrate surface.

The MPACVD method has been used for the growth of both SCDs and polycrystalline diamond (PCD) substrates at high pressures of 160 – 240 Torr, with high growth rates of 20 – 32 µm/hr and for long growth times of 24 – 72 hours. The diamond substrates are then separated from the underlying seed substrate by laser cutting and then the surfaces are mechanically polished for analyses. These substrates have been characterized using FTIR and UV/Vis spectroscopy, SIMS, optical microscopy and X-ray topography. The SCDs grown are of type IIa quality i.e. they have very low nitrogen concentrations of < 100 ppb. They have high transmission even in the low wavelength range. Etch pit density measurements indicate that the substrates grown have low defect density of ~ 104 /cm2.

This presentation describes in detail this efficient deposition process for SCDs and the high quality of the diamond substrates hence achieved.

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2015 Schedule