AVS2014 Session PS-TuA: Advanced BEOL/Interconnect Etching

Tuesday, November 11, 2014 2:20 PM in Room 308

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2014 Schedule

Start Invited? Item
2:20 PM PS-TuA-1 Highly-Selective Etch Gas Chemistry Design for Precise DSAL Dry Development Process
Hisataka Hayashi, Tsubasa Imamura, Hiroshi Yamamoto, Itsuko Sakai, Mitsuhiro Omura (Toshiba Corporation Center for Semiconductor Research & Development, Japan)

To meet the needs of the device scaling trend, patterning technologies for critical dimension control less than 20 nm is required. For 1X nm pattern formation beyond the conventional optical lithography limit, it is necessary to use double (or multiple) patterning process which increases the process cost. Directed-self assembly (DSA) of block copolymer is one of the most attractive candidates for 1X nm pattern formation process and 12.5 nm hp patterns were formed using polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) [1]. DSA lithography (DSAL) process using PS-b-PMMA needs selective removal of PMMA to PS, which is called “development process”. A wet development process was applied successfully for contact hole shrink process [2]. Although this method can remove PMMA selectively, pattern collapse will occur for line and space pattern resulting from surface tension of developer solvent.

On the other hand, although a dry development process is expected to solve this problem, selective removal of PMMA is difficult because PMMA is a similar organic polymer to PS. Dry development processes using Ar plasma and O2 plasma have been reported and their selectivities were 3.9 and 1.7, respectively [3]. However in our case, higher selectivity is needed for etching the underlayer with PS as mask.

In this study, we focused on differences of material composition of PS and PMMA. Our results concluded that the control of ion energy and design of gas chemistry were key factors for the selective etch.

The aromatic group in PS is more durable than the carbonyl group in PMMA for ion bombardment. A selectivity of around 8 was achieved by the control of ion energy in xenon plasma.

PMMA has more oxygen in the film than in PS, so we designed the gas chemistry to realize the selective PMMA etch by using this difference of the oxygen content. We studied carbon containing gas plasma, because carbon radical will deposit on PS which does not contain oxygen. On the other hand, carbon radical will react with the oxygen in the PMMA to make volatile COx, therefore selective PMMA etch to PS can be realized. A selectivity of over 20 was realized using CO gas plasma [4].

DSA lithography dry development was successfully realized by controlling ion energy and designing the etching gas chemistry based on the difference of material composition of PS and PMMA.

References

[1] C. Bencher et al., Proc. SPIE 7970, 79700F (2011)

[2] Y. Seino et al., Proc. SPIE 8323, 83230Y (2012)

[3] M. Satake et al., Proc. SPIE 8685, 86850T (2013)

[4] H. Yamamoto et al., Japanese Journal of Applied Physics 53, 03DD03 (2014)

3:00 PM PS-TuA-3 Plasma Etch Considerations for Roughness Improvements during EUV and DSA Pattern Transfer using Mid Gap CCP
Vinayak Rastogi, Hiroie Matsumoto, Andrew Metz, Alok Ranjan, Nihar Mohanty, Akiteru Ko, Yuki Chiba (TEL Technology Center, America, LLC); Xiang Hu, Liang Wang, Erik Hosler, Richard Farrell, Moshe Preil (GLOBALFOUNDRIES U.S. Inc.)
As the semiconductor manufacturing industry is gearing toward sub-30nm technology nodes, there are continuous efforts to establish alternative patterning strategies other than optical lithography. Extreme Ultraviolet (EUV) Lithography, 193nm Immersion augmented with multiple patterning schemes (‘Self Aligned Double Patterning – SADP’, ‘Self Aligned Quadruple Patterning – SAQP’) and ‘Directed Self Assembly – DSA’ are considered to be promising candidates. However, these methodologies come with challenges posed in the form of polymer to polymer selectivity, mask budget, incoming defectivity, mask shape, critical dimension control, line edge roughness (LER) and line width roughness (LWR) of ever decreasing feature sizes. These issues can be addressed by using spatially uniform low density plasma obtained in a dual frequency mid-gap capacitively coupled plasma etcher.
Here, the unique advantages of TEL Etch Systems and parametric considerations is demonstrated to reduce LER and LWR during plasma etch pattern transfer for two example cases, EUV resist patterning for contact hole patterning and Directed Self Assembly of PS-b-PMMA system for line space patterning. Since mask thickness is much thinner as compared to currently used deep ultraviolet lithography (DUV) resist, both systems require high resist/polymer selectivity during plasma etch of patterns. Also, fine ion/radical flux ratio tunability is required to maintain the pattern profile. Direct current superposition (DCS) on capacitive-coupled plasma (CCP) can enhance the etch resistance of resist and it can improve contact edge roughness (CER). In the case of an applied DCS cure, we confirmed EUV resist etch resistance enhancement and CER improvement. Additionally, we investigated which parameter is dominant for EUV resist cure. For DSA related etching, we have successfully demonstrated pattern transfer into metal hard mask for BEOL application and non-metal hard mask for FEOL applications using TEL Etch Systems. Specifically, the effect of deposition rich gases is investigated for preferential passivation of mask during etching of PS-PMMA films in an oxidizing plasma. This work was performed by the research teams of Tokyo Electron and GLOBALFOUNDRIES at Albany Nanotech Development Facilities.
3:40 PM BREAK
4:20 PM PS-TuA-7 Interactions between the Plasma and the Mask Material during Contact Etching
Mokrane Mebarki (STMicroelectronics, France); Maxime Darnon (LTM - MINATEC - CEA/LETI, France); Cécile Jenny, Délia Ristoiu (STMicroelectronics, France); Nicolas Posseme (Cea-Leti, Minatec); Olivier Joubert (LTM - MINATEC - CEA/LETI, France)

The reduction of device dimension at the sub-15nm technological node requires the use of double patterning for contact etching. Line and space patterns are defined first in a thin TiN layer. Then, a trilayer stack with Si-containing anti reflection coating (SiARC) and organic planarizing layer (OPL) is used to define open areas. The mask is defined by the intersections of both hard mask of TiN and OPL patterns and is used to etch contacts into silicon oxide (TEOS).

The OPL mask must conserve straight profiles during the different etching steps and TiN is exposed to the plasma during silicon oxide etching. The OPL can be etched by different plasmas (N2/H2, O2/SO2, O2/CO2) that may induce a passivation layer on the sidewalls via different passivation elements such has CN, CS, CO. Such passivation layers, as well as the presence of TiN during contact etching, can interfere with the SiO2 etching process and change the final pattern profile. In this study, we investigated the OPL mask etching in COS/O2 plasma in comparison with N2/H2 plasma.

The XPS analyses are performed into Theta300 angle resolved XPS system from Thermo Scientific, ellipsometry measurements are done with spectra FX 200th multiwavelength ellipsometer from KLA-Tencor and OES spectra are recorded with a SD1024 spectrograph detector from SpectraView.

Electronic microscopy observations of OPL patterns etched in N2/H2 or in COS/O2 with various COS/O2 ratios show that straight profiles without undercut can be obtained. After SiO2 etching using a fluorocarbon-based plasma, we observe strong profiles variations in the SiO2 depending on the OPL etch process. After the COS/O2 OPL open, during the first seconds of the SiO2 etching, strong emission lines originating from CS species are observed by OES. In addition, EDX analyses after COS/O2 OPL etching reveal a large amount of sulfur on OPL sidewalls and TiN surface. TiN mask profile is also degraded during the over etch of the OPL and Ti residues are redeposited on all the surfaces. To precise the interaction mechanisms, XPS analyses are performed on TiN, OPL and TEOS after exposure to the various OPL etching processes. We evidenced that the contact profile is influenced by both the process used during OPL opening and the presence of TiN on the wafer. Degradation of masks profiles leads to Ti or S containing residues formation which tends to block the SiO2 etching. These effects can be reduced by an increase of COS/O2 ratio during OPL etching.

4:40 PM PS-TuA-8 Contact Level Patterning Challenges for Sub 22-nm Architecture
Jeffrey Shearer, Jessica Dechene, Sivananda Kanakasabapathy (IBM Corporation); Nihar Mohanty, Blaze Messer, Hongyun Cottle, Andrew Metz (TEL Technology Center, America, LLC); Jinwook Lee (Samsung Electronics)
As gate pitch scaling continues past the 22nm node, we are approaching gate and contact pitches below the threshold of single-exposed lithography. One has to decompose the contact layer into multiple reticles and integrate them on the wafer to achieve an effective pitch less than this threshold. Such integration schemes bring with them issues with substrate damage and gate-contact shorts. Although exercised in BEOL patterning schemes, multicolor integration schemes require customization for the contact module. Damage from plasma exposure to the gate sidewall and source/drain in multiple color integration schemes can detract from gate to contact short yield and device yield. This paper will present innovative etch-integration cooptimization options to minimize plasma induced damage. We also highlight the process challenges in pattern fidelity that the industry has to surmount to make these manufacturable as well as RIE strategies that will help overcome these challenges. Hard mask memorization will be discussed for contact level integration as well as how material selection and etch process optimizations are needed to ensure pattern robustness. Specifically, data will show that multicolor processing causes earlier colors to have degraded device performance. A metal hard mask memorization scheme will be discussed as a way to alleviate multiple source/drain plasma exposures during product processing, thereby improving device yield.
5:00 PM PS-TuA-9 Method for Preferential Shrink Ratio Control in Elliptical Contact Etch
Hongyun Cottle, Anthony Lisi, Andrew Metz, Kaushik Kumar, Devi Koty, Aelan Mosden, Peter Biolsi (TEL Technology Center, America, LLC)

Sub-22nm logic technology requires contact level etch to meet aggressive critical dimension (CD) shrinks as ArF immersion pattering has mostly reached its resolution limit. Utilization of elliptical contacts brings new constraints to CD shrink. Controlling the 2-D aspect ratio of oval contacts is critical to both device performances and yield. One challenge is that conventional plasma etch shrink methods can induce more shrinkage in the major (Y axis) direction than the minor (X axis), which can cause line-end shortening and feature tip-to-tip spacing control problems.

This paper presents a unique dry etch process that yields a Y to X shrink ratio range ≤1 concurrent with a 50% CD reduction from lithography. By utilizing a direct current superposition (DCS) technology, along with CxHyFz chemistry to cure a negative tone developed photoresist (NTD), this method creates a controllable in-situ hydrocarbon deposition, which is mainly responsible for the Y to X shrink ratio range ≤1. This is not seen with conventional fluorocarbon etch based shrink where Y/X shrink ratio is typically >1, as a result of the larger collection angle for gas phase deposition along the major axis. The Y/X shrink ratio range can be modulated through process condition such as gas ratio, pressure, time, etc. After the controllable hydrocarbon deposition, multiple mask defining transferring steps can be executed anisotropically to complete the pattern transfer. Reported is the structural characterization pre and post etch detailing shrink ratio control. In addition, a mechanistic model will be proposed based on optical emission spectroscopy (OES), thin film compositional analysis, and mass spectrum data.

5:20 PM PS-TuA-10 Novel Fluorocarbons Chemistries to Enable 3D NAND High Aspect Ratio Etching
Rahul Gupta, Bastien Lefevre, Venkateswara Pallem, Nathan Stafford (American Air Liquide); JongMun Kim, Kenny Doan, Srinivas Nemani (Applied Materials Inc.)
The development of high aspect ratio etch processes is one of the challenges that must be overcome for the next generation devices such as 3D NAND. In addition to tool improvements a new variable for optimization of the process is the fluorocarbon chemistry (FC) that is used. The study presented here examines the effect of different FC on high aspect ratio etch processes. In this study several novel fluorocarbons chemistries have been tested using a 200mm dual frequency CCP etch tool. Novel chemistries are used to etch planar film of SiO2, amorphous carbon, and SiN for which etch rates are measured as well as the deposition rate on bare Si. The O2 flow rate is varied in order to find the optimum ratio of etch gas to O2. High aspect ratio structures with oxide/nitride stack are etched using optimum condition. SEM cross-sections of pattern structures were analyzed to determine chemistry effect on etch profile, selectivities and mask preservation. By varying F:C ratios and molecular structures, high aspect ratio structure (>25) were obtained with sub 100nm features with improved profile compared to standard FC chemistry. Mass spectrometry was also performed on pure etch gas. Variation of the electron energy from 10 to 100eV gives fragments concentration which can help to predict fragmentation of the molecules under plasma condition and etch performance of molecules. The most promising chemistry was tested on Applied Materials 300mm Dielectric Etch tool.
5:40 PM PS-TuA-11 LER/LWR Improvements in Dual Frequency CCPs for Advanced Node Patterning
Mingmei Wang, Nihar Mohanty, Satoru Nakamura, Akiteru Ko, Alok Ranjan (TEL Technology Center, America, LLC)

One of the key parameters in semiconductor mass production control is Line Edge Roughness (LER) / Line width roughness (LWR) owing to its direct contribution to gate length variation, edge placement error, line resistance variation and others. Due to the resolution-line edge/width roughness-sensitivity (RLS) trade-off for photoresists (PR), photolithography has reached its limit to further improve PR LER/LWR for advanced technology nodes (1xnm and beyond). Thus post lithography roughness reduction treatments have become critical in meeting the ITRS targets for LER/LWR. Vacuum Ultra Violet (VUV) treatment has been showing promising results with photochemical modification based smoothening of PR surface using gases like Ar, H2, HBr etc. which can produce VUV radiation. While several studies have been published over the past decade for improving PR LER/LWR using VUV, most do so by analyzing the results post-VUV-treatment at resist level (before etch transfer). To get good LER for final pattern, pattern transferring from PR is also critical.

CCP chambers, by design, have advantages to achieve good LER/LWR due to the relatively low plasma density and high deposition/etch radical ratio. CCPs with a wide gap are able to well decouple top and bottom RF powers so that we have either low plasma density with high ion energy incident onto the wafer surface or vice versa. In this presentation, post etch LER/LWR data will be discussed with various approaches, such as different treatment duration, different gas combination, ratio plus DC superposition, PR margin, and different plasma parameter settings etc. We will demonstrate that in-order to meet the ITRS targets for LER/LWR for 1x nm and beyond requires co-optimization of the resist roughness with resist profile; thickness; and the subsequent pattern transfer process onto underlying stack.

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2014 Schedule