AVS2014 Session PS-TuM: Plasma Surface Interactions I

Tuesday, November 11, 2014 8:00 AM in Room 308

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2014 Schedule

Start Invited? Item
8:00 AM PS-TuM-1 Directed Irradiation Synthesis: Manipulating Matter in Nanoscale Self-Organized Systems
Jean Paul Allain, Zachariah Koyn, Brandon Holybee, Sandra Arias (University of Illinois at Urbana-Champaign)
Deciphering self-organization mechanisms of nanostructures (e.g. nanodots, ripples) on compound semiconductors and silicon via low-energy ion-beam assisted plasma irradiation is critical to manipulate functionality in nanostructured systems. By operating at ultra-low energies near the damage threshold, irradiation-driven defect engineering can be optimized (e.g. 10-500 eV). Tunability of optical, electronic, magnetic and nuclear detection properties is realized by reaching metastable phases controlled by irradiation. This talk summarizes emerging research that exploits irradiation-driven materials modification with applications in: nanophotonics, nanoelectronics, biomaterials and nuclear detection. Furthermore advances of in-situ analysis conducted during modification to correlate tunable irradiation synthesis and device performance will be summarized.
8:40 AM PS-TuM-3 Contact Resistance Degradation Caused By Plasma Charging of Silicon on Insulator During Contact Etch
Todd Bauer, John DiGregorio, Robert Jarecki Jr. (Sandia National Laboratories)

Contact formation is of enduring importance to integrated circuit manufacturing. A typical contact etch process uses fluorocarbon plasmas to etch small diameter, high aspect ratio holes through deposited silicon dioxide, landing on silicide. Contact etch processes provide high etch rate selectivity due to fluorocarbon polymer deposition on non-oxide surfaces. This selectivity is necessary for bi-level contacts landed on gates and active Si but the interactions among etching, deposition, and the structures being formed are complex and given to non-obvious failure modes. In this presentation we report on the characterization of a failure mode in which contacts to device Si on silicon-on-insulator (SOI) wafers form voids between the interconnect plug and the underlying silicide after thermal stress. The initial parametric signature was an increase in contact resistance for Kelvin structures of a specific design. From a nominal resistance of 2.5Ω, resistance increases by a factor of 3 were common. Through destructive physical analysis we correlated the increase in Kelvin resistance to the formation of small voids at the base of the Kelvin contact. We developed the following model to describe the condition that leads to the voids. As the contact etch reaches the Si surface, the potential at the bottom of the hole shifts abruptly from equilibrium, balancing electron and ion currents, to a more positive potential. This positive potential suppresses ion bombardment at the base of the contact, reducing etch rate and allowing more fluorocarbon polymer to accumulate. Electron current to the bottom of the hole increases to equilibrate the disturbed potential, but is limited by electron shadowing and the available contact hole area. The duration of the excess positive potential is proportional to the specific capacitance of the revealed Si surface, which, for islands on SOI wafers with shallow trench isolation, may be thousands of times larger than an equivalent bulk wafer. Smaller contact area (i.e. fewer contact holes) in a single island exacerbates the transient potential duration and the resulting etch process disturbance. We developed test structures and executed experiments to explore the transient potential concept and the local Si capacitance relative to the open contact area. Without adequate mitigation, the accumlated polymer leads to a weakened interconnect interface that is vulnerable to voiding and delamination.

Sandia is a multiprogram laboratory managed and operated by Sandia Corporation, a Lockheed Martin Corporation, for the United States Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL85000.

9:00 AM PS-TuM-4 3D Modeling of SiN Etching by Hydrofluorocarbon Plasma
Nobuyuki Kuboi, Tetsuya Tatsumi, Takashi Kinoshita, Takushi Shigetoshi, Masanaga Fukasawa, Jun Komachi, Hisahiro Ansai (Sony Corporation, Japan)

Silicon nitride (SiN) is as essential as silicon (Si) and silicon oxide (SiO2) for fabricating complementary metal oxide semiconductor (CMOS) devices. Damage on Si substrates is caused during etching of the transistor side-wall and the contact through the drain region [1], and this can greatly affect transistor properties. Hence, for CMOS devices to achieve high performance, it is important to control the etching process quantitatively along with the mechanism of the SiN surface reaction.

We propose a surface reaction model for the SiN etching process by fluorocarbon (C4F8/O2/Ar) and hydrofluorocarbon (CH2F2/O2/Ar) plasma based on the Slab model of SiO2 [2]. The surface layer is assumed to consist of two layers: a reactive layer divided by several thin slabs and a deposited C-F polymer layer on the reactive layer. We considered physical and chemical reactions in detail including reactivity of radicals (C, F, O, and H), dangling bonds ratio, outflux of N, and generation of by-products (HCN, C2N2, CH, CF2, SiF2, and SiF4) as ion assist, which depend on process parameters. We confirmed that absolute values and trends of SiN etch rate, polymer thickness, damage thickness, and selectivity of SiN/SiO2 and SiN/Si along with gas flow rates of C4F8 and CH2F2 were consistent with experimental data of conductively coupled plasma.

Furthermore, to analyze 3D damage distribution affected by the etched profile, we developed a new 3D simulation technique using an extended voxel model (called “smart voxel”) also including the above Slab model. By using gas fluxes with local pattern effect, the Slab model is solved at each voxel. Then, the etch rate and thicknesses of polymer and damage are derived. Smart voxel has details of the history of the etching situation and gives them around existing voxels when etch front is evolved in the next calculation time step. By repeating these procedures, 3D damage distribution considering a time-dependent etched profile can be realized. In addition to this new concept, modeling of gas transportation in the pattern treated as fluid is adopted without interaction between voxels, which is different from a Monte Carlo (MC) method. Hereby, 3D damage for multi-layer (Si/SiN/SiO2) can be predicted much faster and more accurately than the conventional MC model in spite of a large scale micro-meter. We will show a 3D etched profile and damage distribution for SiN side-wall etching and discuss how to control etching parameters to achieve low damage.

Acknowledgements: We thank Prof. S. Hamaguchi for stimulating discussion.

[1] K. Katahira et al. J. Vac Sci. Technol. A27, (2009) 844.

[2] N. Kuboi et al. Jpn. J. Appl. 50, (2011) 116501.

9:20 AM PS-TuM-5 Study of Plasma-Surface Interaction in HBr/Cl2/O2 ICP
Ashutosh Srivastava (University of Houston); Tomohiro Ohashi (Hitachi High-Technologies); Vincent Donnelly (University of Houston)
HBr plasmas are used to etch Si, as well as GaN, PZT, InP, Indium Zinc Oxide and other materials. In Si etching, HBr plasmas create better anisotropic profiles than Cl2 plasmas, with better selectivity toward SiO2. Selectivity can be further improved by adding oxygen to the plasma. The feed gas composition of HBr/Cl2/O2 plasmas is optimized to best meet the needs of the particular application. Keeping such a complex process stable over time requires tight control over all plasma parameters, including reactor wall conditions. Here, we have studied the interaction of HBr/Cl2/O2 inductively-coupled plasmas (ICP) with the etching products-coated reactor walls, using the “Spinning Wall” technique. Surface composition was determined by Auger electron spectroscopy, and species desorbing from the surface were detected by quadrupole mass spectrometry. It was observed that in a pure HBr ICP with no Si etching, an oxygen plasma-conditioned surface SiOx layer remained unaltered, indicating the inability of Br-containing species to break the Si-O bond. When 5-50% oxygen was added to HBr ICPs, large fluxes of H2O were found to desorb from the spinning wall surface, peaking at ~20% O2. The H2O signal dependence on spinning wall rotation frequency indicates that the reaction time, and/or water retention time on the surface is of the order of 10’s of ms. After turning off the plasma, signal could be detected for several minutes. This is likely because of the highly disorder surface, leading to a stretched exponential decay of water desorption. Addition of Cl2 to an HBr ICP (1:1 ratio) resulted in small amount (<3%) of Cl on the surface but no Br. This Cl was not removed by a pure HBr ICP, but was in an O2 ICP. When a self-bias of -120Vdc was applied to the Si substrate in an HBr ICP, a Si:O:Br layer (32:7:60) was deposited on the spinning wall surface. As O2 was added, the surface composition changed from a Br-rich layer at 6% O2 to an O-rich layer at 20% O2. Si etching in a Cl:HBr ICP (1:1) resulted in equal amounts of Cl and Br on the surface. Again, when O2 is added the surface transitions from Cl-rich at 6% O2 to Cl/O-rich at 20% O2 and finally to O-rich at 40% O2.
9:40 AM PS-TuM-6 Experimental Evaluation of Ta Film Etching Characteristics by CO+ Ion Irradiation
Makoto Satake (Hitachi, Japan); Hu Li, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)

Magnetic Random Access Memory (MRAM) is considered as a promising candidate for the next generation memory because of its non-volatility, fast reading or writing speed, and high write-cycle endurance. MRAM consists of magnetic tunnel junctions (MTJ) and CMOS devices. One of the issues concerning MRAM fabrication is the development of a nano-scale anisotropic etching technology for MTJ because an MTJ is used as the memory component of an MRAM device and high integration of MTJs is required for commercially competitive MRAM chips. One approach to MTJ etching is to use CO/NH3 plasmas with Ta hard masks. With this process, vertical etching profiles with a taper angle of 80° have been achieved with high etching selectivity of magnetic materials over Ta [1].

In previous research [2], etching yields of Ta and Ni films were evaluated with a mass-selected ion beam system with incident ions of Ar+, N+, O+, and CO+. It has been found from X-ray photoelectron spectroscopy (XPS), for example, that the Ta film surface was oxidized while the Ni film surface was not oxidized by the same CO+ ion irradiation. Therefore, it was concluded that the oxidized layer of Ta, which was formed by CO+ irradiation, suppressed the Ta etching yield.

In this study, Ta etching yields by CO+ ions were compared with corresponding theoretical values of physical sputtering of Ta to understand why the Ta etching yield by CO+ ion irradiation was typically very low. The experimentally obtained sputtering yield is typically about 1 % of the theoretical yield of physical sputtering by non-reactive incident species of the same mass. Our XPS analysis of Ta after CO+ ion irradiation indicates that the Ta film is highly oxidized (i.e., to the equivalent degree of Ta2O5) by CO+ ion irradiation while Ar+ ion irradiation of a Ta oxide film reduces its oxidation degree. Therefore the low Ta etching yield by CO+ ion irradiation is caused by a larger amount of oxygen supply by incident CO+ ions with less oxygen sputtering. The dependence of the Ta sputtering yield by CO+ ions on the ion incident angle will be also discussed in terms of the angle dependence of the surface oxidation level.

[1] N. Matsui, et al., Vacuum 66, 479-485 (2002).

[2] H. Li, et al., AVS 60th Int. Symp (2013).

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS-TuM-10 Study of Hydrofluorocarbon Precursor Parameters for Plasma Etching of ULK Dielectric
Chen Li, Gottlieb Oehrlein (University of Maryland, College Park); Rahul Gupta, Venkateswara Pallem (Air Liquide)

Plasma etching of ultra-low k (ULK) dielectrics requires gas precursors that enable very high etching selectivity of ULK over the mask and underlayer while minimizing ULK sidewall damage. We report a systematic study aimed at evaluating the impact of the nature of hydrofluorocarbon gas precursors on plasma etching performance of a representative ULK material, Black Diamond II (BDII) coated onto Si. The work was performed in a dual frequency capacitively coupled plasma (CCP) reactor with real-time characterization by ellipsometry, optical emission spectroscopy (OES), and electrical measurements. The chemical composition of deposited films and post plasma low-k surfaces was examined by X-ray photoelectron spectroscopy (XPS). Etching selectivity of BDII over amorphous carbon (aC), SiO2 and Si3N4 masking materials was evaluated. The impact on the ULK material when exposed under sidewall-like plasma conditions was also studied for various precursor gases using the dilute HF etching method. The precursor gases studied included fluorocarbon (FC) and hydrofluorocarbon (HFC) precursors whose molecular weights and chemical structures were systematically varied i.e. fluorine/carbon ratio, presence/absence of carbon double bonds, and ring versus linear structure. The plasma process conditions were Ar with FC (or HFC) and O2 admixture at a fixed total pressure with varying ion energies. The etch rate (ER) and deposition rate (DR) were measured by in-situ ellipsometry. We found that the DR was greater for precursors with a larger degree of unsaturation. Linearly shaped gases with higher F/C ratio showed the best etching performance, evaluated by the maximum value of product of BDII ER and BDII/aC selectivity value for each gas. By relating measured CF2 optical emission for varying precursor concentrations to simultaneously determined FC (or HFC) film DR, we distinguished three deposition behaviors with qualitatively different etching performance based on the precursor structure. A gap structure, blocking direct ion bombardment, was used to simulate the sidewall plasma environment. With this approach, the evolution of the physical and chemical sidewall profile can be studied in real time using in-situ measurements. We found that gases exhibiting a particular deposition behavior differed systematically in formation/absence of a protective fluorocarbon film on the ULK sidewall. The dependence of improvements in low-k etching performance on the FC chemical structures and the surface model for underlying mechanisms relating these properties will be reported.

11:20 AM PS-TuM-11 Characteristics of Reactive Ion Etching Processes for ITO and ZnO
Hu Li, Kazuhiro Karahashi (Osaka University, Japan); Masanaga Fukasawa, Kazunori Nagahata, Tetsuya Tatsumi (Sony Corporation, Japan); Satoshi Hamaguchi (Osaka University, Japan)

With the increasing demand for high-resolution optoelectronic devices and their applications, micro-pattern formation of transparent conducting oxides (TCOs), especially that of tin-doped indium oxide (ITO), has been required more frequently than before in the development of such devices. Since ITO contains indium, which is one of minor metals whose global production is typically small and are generally traded at high prices, less expensive alternative materials for TCOs are highly sought after. Zinc oxide (ZnO) is a possible candidate for such TCOs alternative to ITO.

Micro-pattern formation of thin film materials may be achieved by reactive ion etching (RIE), which uses chemically reactive plasmas that typically allow high selectivity of etching of specific materials over others and high controllability of micro/nano-scale structure formation. RIE has been widely used in fabrication processes of semiconductors. For high-resolution optoelectronic devices, there has been a considerable demand for RIE processes of ZnO with CH4 based plasmas, which is a non-corrosive gas and expected to yield high etching rates for ZnO. The RIE process of ZnO with CH4 based plasmas, however, has also various problems such as carbon deposition during the etching processes. To further develop RIE technologies of ZnO by CH4 based plasmas, a better understanding of elemental processes of plasma-surface interactions of CH4 based plasmas with ZnO.

In this study, sputtering yields and surface reaction characteristics of ITO and ZnO by energetic chemically reactive CHx ions (CH+ and CH3+) as well as inert-gas ions (Ar+, Ne+ and He+ ions) were examined with the use of a mass-selected ion beam system. It has been found that, for physical sputtering, sputtering yields of ZnO are much higher than those of ITO. For ZnO, etching by CH3+ proceeds faster than Ar+ physical sputtering, which indicates that the etching rate is enhanced by chemical interactions of CHx+ with ZnO. The chemical effect is more pronounced at a lower incident energy. With a fewer hydrogen atoms in the incident ion (i.e., in the case of CH+ ion incidence), however, carbon deposition tends to take place on the ZnO surface. Similar beam-surface interactions of ITO with CHx ions as well as inert-gas ions were also examined and compared with those of ZnO. Mechanisms of chemical reactions of CHx ions with ZnO and ITO will be discussed in the presentation.

11:40 AM PS-TuM-12 Selective Etch and Functionalization of Coblock Polymers
Evgeniya Lock, Scott Walton (Naval Research Laboratory)

Coblock polymers have been applied as nanotemplates for production of nanocomposites and biomolecules nanoarrays due to their ability to spontaneously form dense periodic spherical, cylindrical, and lamellar domains. The final nanostructure is typically produced after chemical etch or dry plasma-based etch in fluorine containing gas environment. However, systematic studies of the effects of plasma etching of coblock polymers in different gas environments are limited. Furthermore, selective chemical functionalization of one of the blocks, while the other one is etched will enable more robust biomolecules/nanoparticles integration and is of critical importance. In order to achieve nanoscale high resolution etch, a precise control of the plasma/surface interactions is needed.

We have already shown that electron beam-generated plasmas can introduce large range of chemical functionalities in a polymer surfaces and etch polymers with low etch rates [1, 2]. In this work, we will show the ability of these plasmas to achieve nanoscale etch of PS-b-PMMA in different gas environments. In addition, the effect of ion energy (1 to 100 eV) on features definition and etch depth will be evaluated. This work was supported by the Naval Research Laboratory Base Program.

References:

1. E. H. Lock, D. Y. Petrovykh, P. Mack, T. Carney, R. G. White, S. G. Walton and R. F. Fernsler, “Surface composition, chemistry and structure of polystyrene modified by electron-beam-generated plasma”, Langmuir, 26 (11), 8857 (2010).

2. E. H. Lock, S. G. Walton and R. F. Fernsler, ”Physio-chemical modifications of polystyrene and polypropylene surfaces by electron beam-generated plasmas produced in argon”, Plasma Process. Polym. 6 (4), 234 (2009).

12:00 PM PS-TuM-13 Dry Etch Process Development for PMMA Removal Selectively to PS for sub-10nm Patterning
Aurelien Sarrazin, Patricia Pimenta-Barros, Nicolas Posseme, Sebastien Barnola, Ahmed Gharbi, Raluca Tiron (CEA, LETI, MINATEC Campus, France); Christophe Cardinaud (CNRS-IMN, France)

For sub-10nm patterns, the semiconductor industry is facing the limits of conventional lithography to achieve narrow dimensions. Presently, extreme ultraviolet lithography (EUV) is under development but this technology is not mature. On another hand, multiple patterning, which benefits from a tremendous technological knowledge in conventional lithography, deposition and etching processes, could be an alternative technique but its major drawback is the complexity of integration generating wafer cost increases. These issues encourage the development of limitless resolution and low cost techniques such as Directed Self Assembly (DSA). Indeed, DSA is one of the promising solutions to reach sub-10nm patterns with a high selectivity.

One challenge of DSA integration is the PMMA removal selectively to PS. Using dry etch for this step is mandatory for line application since wet cleaning is prohibited with the risk of pattern collapse. In this work we propose to study PMMA block plasma etching with a high selectivity over PS using oxidizing and reducing chemistries. All experiments have been carried out in a CCP etching chamber.

First a screening of these chemistries has been performed on PS and PMMA films showing that CO based chemistry is the most interesting process providing infinite selectivity to PS. To achieve this result, studies have been performed with different gases to evaluate the evolution of etch rate with the process time. Complementary analyses using X-ray Photoelectron Spectroscopy (XPS), Fourier Transform Infrared Spectroscopy (FTIR) will also be presented for understanding volume and surface etch mechanisms.

These blanket results will be tested on lamellar block copolymers. The compatibility of these chemistries will be validated in term of etch rate, CD control using Scanning Electron Microscopy (SEM) and roughness using Atomic Force Microscopy (AFM). We will demonstrate that a trade-off is needed between high selectivity to PS and high etch rate to remove the PMMA.

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2014 Schedule