AVS2013 Session TF-ThP: Thin Films Poster Session

Thursday, October 31, 2013 6:00 PM in Room Hall B

Thursday Evening

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2013 Schedule

TF-ThP-1 Enhancement of Structural, Optical and Electrical Properties through Post-Annealing of N-doped ZnO Thin Films Grown by Reactive Magnetron RF-Sputtering
LuisAlberto Hernández-Hernández (ESFM-IPN, Mexico); Arturo Hernández-Hernández (CINVESTAV-IPN, Mexico); Francisco De Moure-Flores (UAQ, Mexico); J.S. Arias-Cerón (CINVESTAV-IPN, Mexico); JoseG. Quiñones-Galván (ININ, Mexico); Jorge Aguilar-Hernández, Gerardo Contreras-Puente (ESFM-IPN, Mexico); Miguel Meléndez-Lira (CINVESTAV-IPN, Mexico)

Nitrogen doped zinc oxide thin films were grown on glass and silicon substrates by reactive magnetron RF sputtering of zinc in a N2O–Ar atmosphere, post-annealing treatment of samples was made in a nitrogen reactive atmosphere. We report a comparative study of as-grown and post-annealing treatment of N-doped ZnO thin films properties carried out by structural, optical, electrical and spectroscopic techniques. The characterization measurements allow us to confirm the improvement of crystalline quality, a higher incorporation of N, and the apparition of luminescence emission at room temperature and photoresponse in the visible range due to the post-annealing treatment of the samples.

: partially funded by CONACyT-Mexico.

TF-ThP-2 Fabrication of Sub-micron Structure by Thermal Lithography Technology with GSSO Thin Films
Chun-Ming Chang (National Taiwan University, Taiwan, Republic of China); Donyau Chiang, Ming-Hua Shiao, Po-Li Chen, Mao-JungHuang Huang (National Applied Research Laboratories, Taiwan, Republic of China); Wen-Jeng Hsueh (National Taiwan University, Taiwan, Republic of China)

In this study, sub-micron structures of hole and line patterns on 4-inch silicon wafer were fabricated by thermal lithography technique using the wavelength of 405 nm laser. Fixed thickness of 80 nm of Quaternary Materials of Ge-Sb-Sn-O (GSSO)thin films were deposited on silicon wafer and glass substrate by magnetron sputter deposition system. The oxygen flow rate ranged from 0 SCCM to 15 SCCM of the sputter deposition process under working pressures of 10 mTorr were studied on the GSSO thin film optical properties of transmittance (T), reflectance (R), absorption (A). Besides, the extinction coefficient (k) of GSSO thin film was controlled between 0.35-1. Furthermore the hole and line structures were made on the GSSO surface with two different laser power ranges of 0.08 mW - 0.3 mW and 0.44mW - 0.88 mW, respectively. The silicon wafer substrate was immersed in Tetramethyl ammonium hydroxide (N(CH3)4OH) solution of 2.38 wt% for 30 seconds.

From the experimental results, it can be found that the depth and sidewall shape of the structure were varied with the extinction coefficient (k) of GSSO thin film and the laser power. The diameter of hole and line width of line structures were varied proportional to the extinction coefficient of GSSO thin film when the laser power was fixed at 0.18 mW and 0.66 mW, respectively. When the oxygen flow rate of 0 SCCM, the laser lithography process is unable to produce a pattern on the GSSO thin film surface since it resulted a pure metal thin film. When the oxygen flow rate increases, and the extinction coefficient GSSO thin film is decrease. When the extinction coefficient of GSSO thin film was fixed at 0.4, the V shape was presented in the hole and line structures when the laser powers were less than 0.13 mW and 0.44 mW, respectively. Besides, the structure presented as U shape when the laser power was higher than 0.18 mW for hole structure and 0.66 mW for line structure which the extinction coefficient of GSSO thin film was greater than 0.65. Finally the diameter and line width of two structures can be controlled between 350-700 nm, and the sidewall angle greater than 8 0° can be produced under suitable processing parameters.

TF-ThP-3 The Properties Study of the ZnO Film Prepared by Magnetron Sputtering
Chao Wang, Zhiwei Zhao (Southeast University, China)
ZnO is a wide band gap semiconductor material with a high transmittance, which can be used in gas sensors, varistors, thin film transistors, and so on. In our work, the ZnO film was prepared by DC magnetron sputtering using Zinc as target with the purity of 99.99%, through introducing Argon gases and Oxygen gases. Surface morphology and microstructure of the films were investigated by atomic force microscope and X-ray diffraction,and the optical performance was also studied by Optical Transmittance Spectroscopy.

In this study, the properties of the ZnO films were studied, which were prepared in different mixing proportion of Argon gases and Oxygen gases. The crystallization behavior of ZnO was analyzed by The XRD spectrum, and crystalline size of ZnO was observed and analyzed by AFM. The effect of the factors, such as annealing temperature, bias voltage of the sample stage and sputtering power, were also studied in the deposition process of ZnO film. Through the studies above, suitable experimental parameters were chosen to prepare the ZnO film, which had a high transmittance and good crystalline properties, making it suitable to prepare ZnO-TFTs.

In a word, the ZnO film was prepared by DC magnetron sputtering, which had a high transmittance and good crystalline properties. The ZnO film with high transmittance is more suitable to prepare transparent thin film transistor. In the next work, ZnO-TFTs will be prepared to study the electronic properties of ZnO film and apply into the flat panel display.

TF-ThP-4 Titanium-Aluminum Oxynitride (TAON) as New Gate Dielectric for 3D MOS Technology
Juliana Miyoshi, JoséAlexandre Diniz, AudreyRoberto da Silva, Ioshiaki Doi (Universidade Estadual de Campinas, Brazil)
The next generation of CMOS technology requires gate insulators with dielectric constant higher than 40, resulting in an Equivalent Oxide Thickness (EOT) thinner than 1 nm. Titanium-aluminum oxynitride (TAON) has been used as high-k gate dielectric for planar MOS devices. Thus, planar MOS capacitor and transistors were fabricated and characterized by TEM and EDS analyses, and C-V and I-V measurements, and the results have confirmed that these films can be used as gate dielectric for planar MOS technology. But, for future 3D device technology application, these films have been not investigated. So, 3D MOS capacitors with Al/TAON/Si (as control sample) and TiN/TAON/Si gate structures for 3D technology were fabricated. Al/TAON and TiN/TAON layers on 3D gate region must present conformal coverage, which is mandatory requirement for 3D transistors, such as FinFET. In this work, Titanium-aluminum oxynitride (TAON) were fabricated by 0.75 Titanium (Ti) and 0.25 Aluminum (Al) subsequently deposition by vacuum e-beam evaporation of 99.9999% of Ti and Al metals, respectively, without any substrate heating. The evaporation pressure was 3.10-8 Torr, and the Ti and Al evaporation rates were of 0.1 nm.s-1. ECR plasma oxynitridation process was carried out at different O2/N2/Ar flow ratios (3:10:20 sccm). Physical characteristics of this MoS structure by ellipsometry and x-ray photoelectron spectroscopy (XPS) were performed to confirm the physical film thickness and define the composition of the film. C-V curves of these structures were performed at 1 MHz.
TF-ThP-5 Influence of Microstructure, Surface Morphology and Optical Properties of the WO3C Film by DC Reactive Magnetron Sputtering
Chao-Te Lee, Donyau Chiang, Chien-Ying Su (ITRC, NARL, Taiwan, Republic of China); Min-Cheng Liu, Cheng-Chung Jaing (Minghsin University of Science and Technology, Taiwan, Republic of China)

The WO3C film was deposited on an ITO glass substrate at room temperature by DC reactive magnetron sputtering with W and C target. Effects of carbon concentration on the microstructure, surface morphology and optical properties of WO3C film were investigated by X-ray diffraction, field emission scanning electron microscopy, atomic force microscopy and spectrometer. X-ray diffraction analysis reveals that all of the as-deposited films are amorphous. The surface roughness of the WO3C film was increased with increasing carbon content from 0.73 nm to 1.29 nm. The average transmittance of coloured WO3C film in the visible region (400-700 nm) was decreased with increased carbon content from 0 at.% to 9.35 at%. The optimum average transmittance of about 76.2% and 7.9% during bleaching and coloration states in the visible light region (400-700 nm) with +3V and -3V applied.

TF-ThP-6 Fabrication of Al2O3 Gate pH-ISFET for Continuous Monitoring
Sang-Kwon Lee, Won-Ho Son, Si-Hun Lee, YoungSoon Moon, Tae-Yong Lee, Sie-Young Choi (Kyungpook National University, Republic of Korea)

The sensing membrane of the pH-ion-sensitive field-effect transistor (pH-ISFET) is a very important element in order to measure continuous monitoring of pH in systems. The Al2O3 film among the sensing membrane materials is well-known as a chemically stable dielectric material that has low leakage current, however, it is classified to be poor sensing materials because of their low sensitivity than other sensing membrane materials. The atomic layer deposition (ALD) method meets the good characteristics the Al2O3 film, because it is possible for deposition of high density, low impurity level, uniform thickness, and low pinhole density. Also, the pH-sensitivity of the pH-ISFET can be improved by thermal annealing at high-temperatures in O2 ambient after ALD deposition. In this paper, to apply continuous monitoring, the pH-ISFET with the Al2O3 sensing membrane deposited by ALD was fabricated, and its characteristics were investigated.

The n-channel field effect transistor (FET) designed for using the pH-ISFET was fabricated by the CMOS-processing technology. The thermal temperature annealing process of the Al2O3 film was carried out using a conventional furnace system in O2 ambient for 40 min at 500, 600, 700 and 800 ℃. All the measurements of pH-ISFET were carried out in a standard pH solution. The conventional reference of Ag/AgCl and liquid-junction filled with 3 M KCl electrode was used to establish the pH solution potential. The null balance circuit, which maintained constant the drain current and the drain voltage, was used to measure continuous monitoring. In the operation of pH-ISFET sensor, the change of electrochemical potential between the surface of sensing membrane and a reference electrode is generated by the establishment potential with chemical response in the pH solution. In order to ensure the shift of VT of pH-ISFET with the Al2O3 sensing membrane, the IDS–VGS curves were measured in pH 4, pH 7 and pH 10 at a constant 600 ℃, these are shown in Fig. 1. From measured results, we confirmed that the VT of the pH-ISFET was shifted from the pH values which changed the surface potential on the Al2O3 sensing membrane. In accordance with experiment results, we measured the shift of the VT on the pH-ISFETs with Al2O3 sensing membrane with respect to the difference of thermal annealing temperatures. Those results are shown in Fig. 2. Through the results of our experiments, we ascertained that the sensitivity of the pH-ISFETs with Al2O3 sensing membranes annealed at 500, 600, 700 and, 800 ℃ are about 56.7, 55.2, 50.3, and 33 mV/pH, respectively.

TF-ThP-7 Electrical and Surface Properties of High-k Dielectric Gate Formed by Al2O3, HfO2, and AlxHfyOz on Silicon Via Atomic Layer Deposition
YouSheng Lin, Victor Ou, Steve Franz, Rob Candler (UCLA)

In order to meet the increasing demand for high frequency electronic devices, the physical dimensions of modern MOSFETs have been continuously scaled down to the nanoscale. However, one of the obstacles encountered during the down-scaling process is the tunneling current leakage at gates. SiO2, traditionally the most commonly used gate dielectric, experiences an appreciable amount of tunneling current leakage when the gate thickness is below 1.0-1.2 nm. Therefore, we propose using alternative high-k dielectrics to replace SiO2, which can effectively limit the tunneling leakage without losing the current control at gates. Our research is mainly focused on studying Al2O3, HfO2, and AlxHfyOz films deposited onto silicon via Atomic Layer Deposition. The aluminum to h afnium ratio in the oxide is fine-tuned to optimize the electrical and physical properties of the film. We expect AlxHfyOz to have a better interface than HfO2 and an intermediate band-gap between those of Al2O3 and HfO2. The electrical properties of transistors and devices with gate oxide thicknesses of 5, 10, and 15 nm will be studied. In addition to the C-V and I-V measurements for capacitors and transistors, the films will be characterized by XPS, AFM, and spectroscopic ellipsometry. In addition, we will study the effect of Plasma ALD incorporate with SiN into the high k films. Finally, the effects of various annealing and deposition temperatures at the silicon-oxide interface will be studied using TEM. The discovery of a suitable replacement for SiO2 would enable the semiconductor industry to push beyond its current limits.

TF-ThP-8 Effect of Tungsten Incorporation on the Structure and Optical Properties of β-Gallium Oxide Thin Films
Ernesto Rubio, Chintalapalle Ramana (The University of Texas at El Paso)
Gallium oxide (Ga2O3) finds attractive applications in luminescent phosphors, hightemperature sensors, antireflection coatings, and solar cells. With a band gap of ∼5eV, Ga2O3 has been recognized as a deep ultraviolet transparent conducting oxide, which makes the material a potential candidate for transparent electrode applications in UV optoelectronics. The present work was performed to study the effect of tungsten (W) incorporation on the crystal structure, chemical composition, surface morphology and optical properties of Ga2O3 films. Films were grown by co-sputtering keeping the sputtering power to Ga2O3-target constant (100 W) while varying the sputtering-power (PW) to W-target in a wide range (50-100 W) in order to vary W-concentration in the films. The samples were deposited on to Si(100) and quartz substrates in a growth temperature range of 500-800 ºC. It is seen that increasing W concentration alters the electronic structure of Ga2O3 while the crystal structure of β- Ga2O3 phase is retained. Spectrophotometry analysis indicates that the W-doped Ga2O3 films are single phase and transparent. Due to substituional nature, W ions incorporated form donor levels and, thus, allowing band gap reduction and conductivity enhancement of Ga2O3. The band gap showed a red-shift from ~5 eV to ~4 eV with highest concentration of W-incorporation into β- Ga2O3 films. The structure-property relationship as a function of W-concentration in Ga2O3 films is established.
TF-ThP-9 Effect of Growth Temperature and Post-Deposition Annealing on the Structure and Optical Properties of Yttrium Oxide Thin Films
Chintalapalle Ramana, Luis Sanchez (University of Texas at El Paso); Victor Atuchin (A.V. Rzhanov Institute of Semiconductor Physics, Russian Federation); V. Kruchinin (Institute of Semiconductor Physics, Russian Federation); I Prosvirin (Boreskov Institute of Catalysis, Russian Federation)
Yttrium oxide (Y2O3) has received significant attention in recent years in view of its possible integration into a wide range of scientific and technological applications. Y2O3 films exhibit excellent electronic properties such as transparency over a broad spectral range (0.2–8 μm), high dielectric constant (~14–18), high refractive index (~2), large band gap (~5.8 eV), low absorption (from near-UV to IR), and superior electrical break-down strength (>3 MV/cm). These properties make Y2O3 films interesting for various electrical, optical and electro-optic devices. Therefore, controlled growth and manipulation of microstructure, particularly at the nanoscale dimensions, has important implications for the design and applications of Y2O3 films. The present work was performed on the growth and optical characterization of nanocrystalline Y2O3 films made by magnetron sputter-deposition. The Y2O3 thin films were grown onto Si(100) substrates using reactive magnetron sputter-deposition at temperatures (Ts) ranging from room temperature (RT) to 500 oC. In addition, post-depositon annealing (Ta) in air was also performed in the temperature range of 500-1000 oC. The effect of Ts and Ta on the microstructure and optical properties of Y2O3 films were investigated. The structural studies employing X-ray diffraction (XRD) and reflection high-energy electron diffraction (RHEED) indicate that the films grown at room temperature (RT) are amorphous while the films grown at Ts=300-500 ºC are nanocrystalline. Depth profiling has been produced with Ar+ ion bombardment and XPS analysis. Spectroscopic ellipsometry measurements indicate that the size-effects and ultra-microstructure were significant on the dispersive optical constants. A significant enhancement in the index of refraction (n) (from 2.03 to 2.25) is observed in well-defined Y2O3 nanocrystalline films compared to that of amorphous Y2O3. The changes in the optical constants were explained on the basis of increased packing density and crystallinity of the films with increasing Ts. The optical properties of Y2O3 films were also found to be affected upon annealing due to structural transformation and/or interfacial compound formation.
TF-ThP-10 Fabrication and Electrical Properties of Nanocrystalline Yttrium-Doped Hafnium Oxide Thin Film Capacitors
Abhilash Kongu (University of Texas at El Paso); Samantha McPeak, Sushma Kotru (The University of Alabama); Chintalapalle Ramana (University of Texas at El Paso)
Hafnium oxide (HfO2) has emerged as the most promising high-k dielectric for Metal-Oxide-Semiconductor (MOS) devices and has been highlighted as the most suitable dielectric materials to replace silicon oxide because of its comprehensive performance. In the present research, yttrium-doped HfO2 (YDH) thin films were fabricated using RF magnetron sputter deposition onto Si (100) with a variable thickness. Electrical properties such as capacitance-voltage (C-V), current-voltage (I-V), leakage and breakdown characteristics of YDH films were studied. YDH films that were relatively thin (<1500 A°) crystallized in monoclinic phase while thick films crystallized in cubic phase. The band gap (Eg) of the films was calculated from the optical measurements using linear regression. The band gap was found to be ~5.60 eV for monoclinic YDH films while it is ~6.05 eV for cubic. Cross-sectional SEM and Filmetrics were used to measure the thickness of the films. The thicknesses of the films range from 700 A° to 7500 A°. Frequency dependence of the electrical resistivity (ρac) and the total conductivity of the films were measured. Resistivity decreased (by three orders of magnitude) with increasing frequency from 100 Hz to 1 MHz, attributed due to the hopping mechanism in YDH films. Whereas, while ρac~1 Ω-m at low frequencies (100 Hz), it decreased to ~ E-4 Ω-cm at higher frequencies (1 MHz). Aluminum electrodes were deposited to fabricate a thin film capacitor with YDH layer as dielectric film thereby employing Al–YDH–Si capacitor structure. The results indicate that the capacitance of the films decrease with increasing film thickness. A detailed analysis of the electrical characteristics of YDH films is presented.
TF-ThP-11 Electrochemical Corrosion of Thin Ferromagnetic Fe-N Films in Neutral Solution
Sergey Maklakov, Sergey Maklakov, Andrey Naboko, Ilya Ryzhikov (Institute for Theoretical and Applied Electromagnetics, Russian Federation)
Energy and information transfer is an actual task in biosensors. Thin ferromagnetic films are widely used as antennas and magnetic field sensors[1]. The study of corrosion behavior in neutral solutions serves fundamental interest and practical applications.

Corrosion behavior is reported for Fe-N films (h = 150 and 300 nm) deposited onto polymer (Fe-PET) and glass (Fe-glass) substrates via DC magnetron sputtering (90 % Ar - 10 % N2 gas mixture). Polarization curves are obtained in 0.1 M Na2SO4 under ongoing Ar bubbling.

Film’s surface is covered with an oxide layer. Fe-PET films show lesser free surface energy in comparison with Fe-glass films (H2O wetting angle is θ = 77 ± 5° and θ = 87 ± 2°, which gives adhesion energy Wa = 89.1·10-3 J/m2 and Wa = 76.6·10-3 J/m2, respectively). Initial corrosion stage for films on the rigid substrate goes slower than for the polymer substrate: corrosion currents for oxide dissolution and stationary potentials are icorr = 5·10-7 A/cm2, and E0 = -0.050 V (vs SHE) for Fe-glass; icorr = 7·10-6 А/cm2, and E0 = -0.465 V for Fe-PET.

Film’s thickness influences corrosion rate, but rigidity of substrate does not. Increase in thickness results in increase in corrosion current: icorr = 1·10-5 А/cm2 for h = 150 nm, and icorr = 8·10-5 А/cm2 for h = 300 nm. Stationary, passivation and repassivation potentials for Fe-N films after oxide layer removal are E0 = -0.575 V, Epass = -0.500 V, and Erepass = +1.350 V. In the case of h = 150 nm, films show localized corrosion. In a passive state, Fe-glass films are more stable then Fe-PET films.

The phenomena observed are probably the results of a mechanical stresses within metal film[2]. In the case of flexible and plastic substrate these stresses are partially decreased due to sample bend. The rigid substrate produces mechanical stress excess which increases oxide layer thickness and increase initial corrosion durability.

The results reported are of practical interest for thin film devices.

Literature

1. S.S. Maklakov, S.A. Maklakov, I.A. Ryzhikov, K.N. Rozanov, A.V. Osipov, A.S. Naboko, V.A. Amelichev, S.V. Kulikov. The structure and microwave permeability of thin cobalt films. // Nanotechnologies in Russia. 7 (2012) 255-261

2. A.V. Agaponova, I.V. Bykov, S.A. Maklakov, S.S. Maklakov, A.A. Pukhov, I.A. Ryzhikov, M.V. Sedova, E.E. Shalygina, I.T. Yakubov. Visualization of the domain structure of ferromagnetic films using the magnetochemical effect. // Physics of the Solid State. 53 (2011) 1013-1016

TF-ThP-12 Chemical Bonding in Silicon Nitride Films Deposited with SiH4/N2 by Very High Frequency Plasma-Enhanced Chemical Vapor Deposition
Shin-ichi Kobayashi (Tokyo Polytechnic University, Japan)
Hydrogenated amorphous silicon nitride (a-SiNx:H) films are useful for microelectronic and optoelectronic applications such as passivation layers and antireflection layers. These films are usually deposited by plasma-enhanced chemical vapor deposition (PECVD) using a SiH4/NH3 gas mixture. However, in order to obtain low-hydrogen-content a-SiNx:H films at a low substrate temperature for passivation and/or barrier applications, it is advantageous to use N2 instead of NH3 as the nitrogen source. I obtained transparent a-SiNx:H films with an optical bandgap about 5.0 eV by very high frequency (VHF: 150 MHz)-PECVD using a SiH4/N2 gas mixture in a conventional diode-type reactor at 50 °C. The films were examined with a Fourier transform infrared spectrophotometer (FTIR). The Si-N stretching frequency increases upon increasing VHF power. This shift is induced by the H atom, which is more electronegative than Si, back-bonded to the N atom of the Si-N bonds. Films were stored in an FTIR system containing dry air for 3 months. The changes in local structure in the films during storage deduced from FTIR spectra will be discussed.
TF-ThP-13 Optical and Structural Properties of GaN Thin Films as Grown by Closed-Space Vapor Transport
LuisAlberto Hernández-Hernández, Jorge Aguilar-Hernández, Francisco De Moure-Flores, Adolfo Escamilla-Esquivel (ESFM-IPN, Mexico); Máximo López-López (CINVESTAV-IPN, Mexico); Guillermo Santana-Rodríguez (IIM-UNAM, Mexico); Miguel Meléndez-Lira, Arturo Hernández-Hernández (CINVESTAV-IPN, Mexico); JoseG. Quiñones-Galván (ININ, Mexico); Osvaldo De Melo-Pereira (UH, Cuba); Gerardo Contreras-Puente (ESFM-IPN, Mexico)

We report in this work the structural and optical properties of GaN films grown by the Closed-Space Vapor Transport (CSVT) technique. The samples were deposited on quartz substrates using GaN powder as the starting material source, which were contained inside a semi-hermetic cell made of graphite in a low pressure atmosphere. We present the characterization carried out by X-Ray Diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS) and Photoluminescence (PL) measurements. XRD results exhibit the growth of low quality hexagonal GaN, while SEM image shows GaN clusters over the entire substrates surface. Concerning EDS measurements they indicated that non-stoichiometric GaN films with non-intentional carbon (C) impurification were obtained. Finally, PL measurements under UV excitation (He:Cd laser λ=325 nm), present PL emission at room temperature. We observed the presence of different emission bands in the visible region: the yellow (YL) at 2.20 eV and the blue (BL) at 2.7-3.0 eV bands are related to undoped and C-doped GaN, while, the green (GL-2) band at 2.36 eV is related to Ga-rich GaN.

: partially funded by CONACyT-SENER, ICyTDF and DGAPA-UNAM PAPIIT.

TF-ThP-15 Patterning of Nonwoven Fiber Mats by Atomic Layer Deposition
William Sweet, Christopher Oldham, Gregory Parsons (North Carolina State University)

The ability to pattern substrates is an integral part of many industries, from integrated circuit manufacturing to newspaper printing. To apply features for flexible electronics, techniques such as screen printing, inkjet printing, or shadow masking are available. Alternatively, features can be created by a negative etch if the patterned areas can be sufficiently protected. However, these methods do not offer the ability to use patterning to produce conformally coated 3D structures on complex substrates. Nonwoven fiber mats are an example of a complex substrate, which offers highly tunable properties such as fiber chemistry, diameter and geometry, all of which can be produced in high volumes at very low cost.

In this work, we demonstrate a new method using Atomic Layer Deposition to selectively pattern features on nonwoven fiber mats, allowing for the creation of conformally coated 3D patterns. By compressing the sample between two patterning plates we are able to restrict precursor diffusion in the compressed region, but allow ALD to occur in the exposed portion of the sample, imparting the pattern on the substrate. By stacking multiple layers of substrate in the patterning plates, the 3D pattern that is created can easily be seen by deconstructing the layers or by cutting the sample to view a cross section of the feature. The impact of substrate chemistry (polypropylene, nylon, cotton) and structure (woven vs. nonwoven) as well as fiber diameter (microfibers vs. nanofibers) is examined. In addition the impact of deposition conditions (temperature, precursor exposure) and coating chemistry (Al2O3 and ZnO) is investigated. This technique will enable new applications for ALD coatings on flexible substrates; applications in gas and liquid sensors as well as flexible electronics will be discussed.

TF-ThP-16 Low Temperature Plasma-assisted Atomic Layer Deposition of Copper Studied using In Situ Reflection-Adsorption Infrared Spectroscopy
Sumit Agarwal, Rohan Chaukulkar (Colorado School of Mines); Nick Thissen (Eindhoven University of Technology, Netherlands); Vikrant Rai (Colorado School of Mines)

Cu interconnects in modern integrated circuits are fabricated using an electroplating process, which requires an ultrathin, conformal, and continuous Cu seed layer. The resulting interconnect performance depends significantly on the quality of this seed layer. Atomic layer deposition (ALD) is a thin film growth technique, which is widely used to deposit highly conformal, high-purity films with digital control over the thickness. This technique has been successfully implemented to deposit a variety of metals. To enable new deposition chemistries, and to achieve better control over existing ones, it is important to understand the surface reaction processes that occur during film growth. In situ infrared spectroscopy has been shown to be an excellent technique to study surface reactions in an ALD processing environment. However, due to free electron absorption in metals, traditional infrared techniques based on transmission and internal reflection cannot be used to study metal ALD processes beyond the very initial nucleation stage. In this study, we have designed and implemented an in situ reflection-absorption infrared spectroscopy (RAIRS) setup to study the surface reactions during the deposition of Cu from Cu(hfac)2 and a remote H2 plasma at a substrate temperature of 80 °C. We specifically report on the surface reactive sites, and the mechanism for Cu(hfac)2 chemisorption on an oxide (Al2O3) surface. Unlike previous studies, we propose a detailed surface reaction mechanism, which does not require the presence of hydroxyl groups on the oxide surface. Lastly, using RAIRS, we also report results with the use of reducing agents other than atomic H, and report on their efficacy.

TF-ThP-17 Mo/Si Multilayer Film with 4 nm Bandwidth for EUV Mirrors by RF Magnetron Sputtering
Chao-Te Lee, Yi-Chen Yeh, Wen-Hao Cho, Hung-Pin Chen, Po-Kai Chiu, Chien-Nan Hsiao (ITRC, NARL, Taiwan, Republic of China); ShangWei Lin, Pin-Jiun Wu (National Synchrotron Radiation Research Center)

Most applications of Mo/Si bilayers mirrors in extreme-ultraviolet lithography (EUVL) require a high normal-incidence reflectivity. This work represents our effort to design and prepare the Mo/Si multilayer film with 4 nm bandwidth at 13.5 nm wavelength. The Mo/Si multilayer film was deposited on a Si substrate at room temperature by RF magnetron sputtering with Ar gas. The optimum simulation reveals that normal-incidence average reflectivity of Mo/Si multilayer film with 4 nm bandwidth at 13.5 nm was 14%. Effects of RF power and working pressure on the microstructure, surface morphology and EUV reflectivity of Mo/Si multilayer film were investigated by X-ray diffraction, atomic force microscopy and high resolution transmission electron microscopy (HRTEM). It was found that the surface roughness of Mo/Si multilayer film was significantly improved under 0.2 nm at low RF power and work pressure. In addition, HRTEM lattice fringe revealed that Mo film was polycrystalline and Si film was amorphous. The resulting EUV bandwidth of this Mo/Si multilayer film is 4 nm (λ=13.5 nm, α=15o ).

TF-ThP-18 Thin-Film and Crystalline Properties of Silicon Deposited Using a Novel, Low-Damage, Surface Wave Plasma Source
Piyum Zonooz, Jason Peck, Davide Curreli, David Ruzic (University of Illinois at Urbana Champaign); Michael Reilly, Brian Jurczyk, Robert Stubbers (Starfire Industries, LLC)
A novel surface wave plasma device has been developed by Starfire Industries, LLC to deposit amorphous and micro-crystalline silicon at high deposition rates (>2 nm/s) and low defect densities for large-area processing. Testing of this device has been carried out by the Center for Plasma Material Interaction at the University Illinois at Urbana-Champaign. Operating in the microwave frequency range, the surface wave generates a high density (>1012 cm-3), low temperature (<2 eV) plasma with excellent uniformity over the excitation area. Using SiH4-H­2as the gas, the surface wave plasma has demonstrated deposition along a continuum between purely amorphous and highly crystalline silicon films. The film phase and quality are controlled by modifying process parameters e.g., RF power, dilution ratio, substrate temperature, substrate-plasma gap distance and gas flow rate. The films were analyzed using Raman Spectroscopy and Microscopy, SEM and X-Ray Diffraction. Using these diagnostics, the parameter space is explored to demonstrate control over deposited silicon phase applicable for photovoltaics. Trends show the relationship between substrate temperature, power density and flow rate with respect to film crystallinity and electrical properties.
TF-ThP-19 Ar+ Ion Sputter Processing of Monolayer MoS2 Films
Quan Ma, Patrick Odenthal, John Mann, Chen Wang, Yeming Zhu (University of California, Riverside); Dezheng Sun (Columbia University); Tianyang Chen, Koichi Yamaguchi, Tai Tran, Michelle Wurch, Jessica McKinley, Miguel Isarraraz, KatieMarie Magnone (University of California, Riverside); Tony Heinz (Columbia University); Roland Kawakami, Ludwig Bartels (University of California, Riverside)
Low-energy Argon sputtering can selectively reduce the Sulfur contents of single-layer MoS2 films while not removing Molybdenum, as shown by XPS analysis. Even after removing ¼ of the total S, the Mo 3d states’ XPS features remain virtually unchanged and sharp, suggesting that the Mo oxidation states are unchanged and that widespread reconstruction of the film does not take place. Concurrent measurement of the PL yield shows a rapid decay of the PL signal independent of the substrate temperature between 175 and 300K. A basic model describes the data near quantitatively and suggests very short exciton diffusion lengths.
TF-ThP-20 Atomically Thin Molybdenum Sulphoselenide Films with Tunable Band Gaps
John Mann, Edwin Preciado, Velveth Klee, Koichi Yamaguchi, Sarah Bobek, Miguel Isarraraz, David Barroso, Ariana Nguyen, Eva Bonilla, Sahar Naghibi, Michelle Wurch, Ludwig Bartels (University of California, Riverside)

We demonstrate the growth of atomically thin (mono to few layer) alloys of Molybdenum Sulphoselenide films with the use of organic sulfur and organic selenium precursors. Changing the ratio of these precursors allows us to tune the value of the band gap continuously between 1.87 eV and 1.54 eV, the band gaps of MoS2 and MoSe2, respectively. Our growth technique yields mm scale films on SiO2/Si (300 nm oxide layer) substrates. The films are characterized with Raman spectroscopy, X-ray photoelectron spectroscopy, photoluminescence measurements, and atomic force microscopy

TF-ThP-21 Optimization of Diamond-Like Carbon (DLC) Films as Anti-Reflection Coatings
Ryutaro Takahashi, Tomotada Akutsu (National Astronomical Observatory of Japan); Yoshio Saito (High Energy Accelerator Research Organization, Japan); Naoki Sakamoto (ICS Corporation, Japan)

Laser interferometer gravitational wave telescopes need baffles to avoid stray light in UHV. The surface of baffles should be treated with anti-reflection coatings without scattering light and increasing outgassing. Diamond-like Carbon (DLC) films are suitable for this aim. The reflectivity of DLC films on SS304 was less than 1% at minimum for 1064nm in wavelength. We could optimize the thickness of the film so that the reflectivity can be less than 20% for any polarization, wide incident angle, and large inhomogeneity of thickness.

TF-ThP-22 Fabrication of Distributed C60 Molecules Reinforced Al Nano-composite Thin Films and Their Mechanical Properties
Akihito Matsumuro (Aichi Institute of Techonology, Japan)
Fabrication of distributed C60 molecules reinforced Al nano-composite thin films was carried out on Si(100) wafer substrate using a conventional vacuum evaporation method. The evaporation sources were separated between C60 molecule powder and Al powder in order to prevent from the reaction between different original powders. The concentration of C60 molecule powder was changed ranging from 0.1 to 40 wt%. The structure analyses of all nano-composite films prepared by X-ray diffraction method showed aluminum crystalline structure and FT-IR analysis clarified the existence of C60 molecules contained in Al thin films. Cross-section TEM observations were carried out to evaluate the structure of the composite thin film. This result showed the uniform microstructure inside the composite films. From above results the uniform distribution of C60 molecules was obtained in Al matrix film. Nano-indentation studies showed that the hardness of Ai-1wt %C60 increased up to 3 times larger than that of Al film. The present result clearly indicates that high-strength nanocomposite thin metallic films reinforced by distributing C60 molecules should be developed.
TF-ThP-23 Plasma Polymerization of the Inner Wall of a Long, Narrow Tube under Atmospheric Pressure
Tzu-Hsuan Lin, Yao-Jhen Yang, Chih-Chun Wang, Cheng-Che Hsu (National Taiwan University, Taiwan, Republic of China)

Coating in the lumen surface of long and thin tubes has been highly desired yet challenging. In this work, generation of stable plasmas in a long thin tube and the use of such plasmas to perform plasma polymerization in the lumen surface of the tube are presented. By inserting a specially designed long thin electrode into the tube, stable Ar or He discharges can be sustained in tubes with the inner diameter down to 0.26 mm and the length up to 1 m under atmospheric pressure using an AC power source. This system is then used to perform plasma polymerization in the lumen surface of a tube 1 mm in inner diameter. When allylamine is used as the precursor, carried by Ar gas flow, films containing NHx can be obtained through plasma polymerization. The film composition is examined by ATR-FTIR. The spectra show the absorption at 3274 cm-1, which is attributed to NHx, and the absorption at 1658cm-1, contributed by C=N, C=C, or N-H. Further analyses using SEM, XPS, and AFM show that smooth film with NHx–bonded surface can be obtained. Such an approach offers a promising approach in various fields such as biomaterial application.

TF-ThP-24 Vapor Phase Polymerization onto Liquid Substrates
LauraC. Bradley, Malancha Gupta (University of Southern California)

We will present our work demonstrating polymer deposition onto ionic liquid (IL) substrates. ILs have recently attracted significant interest as an environmentally-friendly alternative to traditional volatile organic solvents because ILs are non-volatile, non-flammable, and can be easily recycled. The low volatility of ILs allows for their use in vacuum processing. The immobilization and encapsulation of ILs is important to implementing ILs in commercial processes to bypass issues caused by their high viscosity. We will demonstrate the encapsulation of IL droplets in polymer shells and the integration of ILs into layered polymer films. Our encapsulation method uses a liquid marble technique to deposit a continuous polymer shell on the entire area of IL droplets. Polymer shells composed of 1H,1H,2H,2H-perfluorodecyl acrylate crosslinked with ethylene glycol diacrylate (P(PFDA-co-EGDA)) were found to be stronger than the respective homopolymers. We also studied the immobilization of IL in layered polymer films made by sequential and simultaneous depositions of soluble and insoluble monomers. We found that the polymerization of soluble monomers within the bulk IL leads to the integration of the IL into the polymer films and that the order in which the monomers are introduced into our polymerization process significantly affects the structure of the layered films.

TF-ThP-25 Performance of WSe2 Thin Film Photovoltaic Devices as Determined by Numerical Modeling
Hrach Kyureghian, Joel Banninga, Natale Ianno, Rodney Soukup (University of Nebraska-Lincoln)

Recently the promise of thin film solar cells has begun to be realized on a commercial scale with the introduction of CdTe and CuInGaSe modules in the market place. Although these materials yield cost-effective modules from a dollar/watt aspect they present environmental and possibly long term availability issues. In view of this a great deal of interest is being focused on environmentally safe earth abundant materials including iron sulfide (FeS2) in the pyrite phase, and tin sulfide (SnS). While promising, iron sulfide is presenting serious material science challenges, while work on SnS is just beginning. In addition the band gaps of these materials are less than the optimal value of 1.36 eV. Another excellent candidate for an earth abundant absorber material is WSe2 which can be directly grown as a p-type semiconductor with a band gap near 1.4 eV. In view of this we have evaluated the performance of WSe2 in photovoltaic devices by means of numerical modeling. The model is based on thin film material properties obtained from the literature as well as those measured in our laboratory. These results will be compared to those obtained for FeS2 where it will be shown that WSe2 has a significantly higher potential for yielding devices with efficiencies in the 20% range.

TF-ThP-26 Co-sputtered Indium Selenide Thin Films with RTA Treatment for Buffer Layer in CIGS Photovoltaic Applications
MyoungHan Yoo, Geum-Bae Cho, Nam-Hoon Kim (Chosun University, Republic of Korea)
Indium selenide is one of the III-VI semiconductors with the various stoichiometry including InSe, In2Se3 and In6Se7. These compound semiconductors have been attracted great interest in the optoelectric and photovoltaic applications. Cu(In,Ga)Se2 (CIGS) thin film solar cells, which are strongly considered as the most promising thin film solar cells, have achieved the highest efficiency of 20.4% on 2013 by EMPA. CIGS thin film solar cells have a buffer layer such as CdS and a transparent conductive oxides (TCO) like ITO/ZnO:Al as a back contact. This study focused on alternative materials for buffer layer with indium selenide to exclude Cd-like hazardous elements in solar cells. The sufficient band gap energy (approximately 2.5 eV), lower resistivity and fluent transmittance (>80% with 50 nm-thickness) were needed for the buffer layer in CIGS thin film solar cells. Co-sputtering method was used for preparing various stoichiometry of indium selenide with InSe2 and In targets before rapid thermal annealing (RTA) treatment. Some characteristics of indium selenide including band gap energy and optical transmittance could be controlled by the change of crystal structure/quality, which was attributed by the chemical compositions of indium selenide thin films. The crystal structures and chemical compositions of indium selenide were analyzed by using X-ray diffraction (XRD) and secondary ion mass spectroscopy (SIMS). The optical properties and electrical characteristics of indium selenide thin films were examined by using an UV-Visible spectrophotometer and a Hall Effect measurement system. Some characteristics including the band gap energy could be controlled by a concentration of indium in the indium selenide thin film with a better heterointerface with the CIGS layer enough to replace the CdS thin film as a buffer layer in the CIGS thin film solar cells. Acknowledgement: This research was financially supported by the Ministry of Education, Science Technology (MEST) and National Research Foundation of Korea (NRF) through the Human Resource Training Project for Regional Innovation.
TF-ThP-27 Passivation Effect of MPTMS Monolayer for Ag Thin Films
Midori Kawamura, Tomomi Hirose, Yoshio Abe, KyungHo Kim (Kitami Institute of Technology, Japan)

Ag thin films with excellent physical properties have been used as electrode, optical reflector, and so on. It is necessary to prevent degradation of the Ag films in air or humid atmosphere. We pay attention to sub-nanometer thick layer of 3-mercapto propyltrimethoxysilane (MPTMS), as a protective coating. If the MPTMS monolayer is formed at the surface of Ag thin films, a strong bond between them may bring an effective passivation of the Ag films.

Ag thin films (10nm) were deposited on clean glass substrates by vacuum evaporation. Then monolayer of MPTMS was formed over the Ag thin films by solution method. The samples were kept in a constant temperature and humidity chamber (40 degree celsius and 80 RH%) for a week. The electrical resistance, surface morphology, optical transmittance were measured before and after the test. Ag film without the monolayer was also examined for comparison.

The surface roughness (rms) of the Ag film modified with the monolayer was increased from 2.1 nm to 3.8 nm after the test. However, that of Ag film without the monolayer drastically increased from 3.7 nm to 12.4 nm. As a result, passivation effect of the MPTMS monolayer for Ag films was confirmed. By the measurement of electrical resistivity, it was found that increase in the resistivity after the test was very much suppressed in the Ag film with MPTMS monolayer. In addition, optical transmittance measurement showed that spectrum of Ag films with the monolayer did not change after the test. These results accord with film morphology change. Consequently, we found modification of Ag films with MPTMS monolayer can improve durability of the Ag films.

TF-ThP-28 Photoluminescence Characterization of Polythiophene Doped with Metallophthalocyanines whose Central Metals Contain 3d, 4d and 5d Electrons
Hiroaki Kobe, Ryu Sakamoto, Hitoshi Kato, Susumu Takemura, Kazuhiro Shimada, Tomoyasu Hiramatsu, Kazunori Matsui (Kanto Gakuin University, Japan)
Conducting polymer polythiophene (PT) films doped with zinc phthalocyanine (ZnPc), tin phthalocyanine (SnPc) and lead phthalocyanine (PbPc) were synthesized and characterized by photolumimescence measurements (PL) and time-correlated single photon counting (TCSPC) measurements in order to obtain fundamental PL properties of various PT-phthalocyanine complexes prepared by different solvents. PT films were electrochemically synthesized on an indium tin oxide (ITO) substrate with a resistivity of 30 Ω / cm2 in an electrochemical cell utilizing the voltage controlled method. Electrochemical polymerization was performed in acetonitrile containing 0.05 M thiophene monomer and 0.1 M of the supporting electrolyte (Et)4NBF4 in a N2 atmosphere. The ITO substrate was used as a working electrode (anode) for polymerization. A platinum mesh and Ag/AgCl electrode were used as a counter and reference electrodes, respectively. Typically, 3.5 V versus the reference electrode was applied to the anode for 300 sec for the PT film synthesis. BF4- anions were doped in the as-grown films. Injection of PcMs into the PT films was performed by a diffusion method. PcMs molecules were diffused into the PT films by dipping as-grown PT films in phthalocyanine dissolved acetonitrile or toluene. Phthalocyanine was dissolved until it was saturated. The wavelength of PL emission peaks and lifetimes drastically changed with the used solvent in the doping process and the central metals. In the PL measurement, in the case of ZnPc doped films, emission peaks were observed in both Soret and Q band regions using both solvents in the doping process. In the case of SnPc doped films, using acetonitrile in the process, the observed PL emission peaks were originated from Soret and Q bands whereas the PL emission peaks were assigned to only Q band-origin in the case of toluene used as a solvent. In the case of PbPc doped films, emission peaks were observed in only Soret band region using both acetonitrile and toluene. In the TCSPC measurements, the lifetime values ranged from several ten nsec to several hundred nsec. Calculated averaged lifetime value of each compound showed almost the same value among these three compounds. Typically, the averaged lifetime was around 190 nsec. However, ZnPc-doped PT acetonitrile-processed sample and SnPc-doped PT toluene-processed sample yielded a different lifetime profile which gave a short lifetime value. The lifetime depended not only on the central metals of the doped phthalocyanine but also on the solvent used in the doping process. This work was aided by MEXT-supported Program for the Strategic Research Foundation at Private Universities.
TF-ThP-29 Improved Surface Properties of PAR Substrate for Flexible Embedded TFT Applications by Plasma Treatment
Young-Hee Joo, Jong-Chang Woo, Yoon-Soo Chun, Chang-Il Kim (Chung-Ang University, Republic of Korea)

Recently, the flexible display applications have attracted attention as the next generation industry and related researches and mass production compatible products grew fast during the past few years. Until now, most of these systems have several disadvantages due to the stacked structure. Most of the processes for flexible display applications still use the high aspect ratio of the stacked on the flexible substrates. The high aspect ratio will affects reliability concerns under bending states. In order to solve these problems, a new concept has been studied. Among them, a concept of embedded structure inside the flexible substrate without changing the substrate’s thickness was proposed with laser ablation and screen printing. However, the surface conditions of the flexible substrate cannot be controlled using laser ablation. In order to solve these problems, the surface treatment process was proposed with optimized conditions for the substrate preparation. This work focuses on the surface properties of etched PAR substrate plasma treatment with a goal of low fragmentation, smooth surface roughness, and high overall transmittance with different process parameters.

In this work, we were experimented the etching and treatment process using inductively coupled plasma (ICP) system. We present the surface properties of PAR substrate with various treatment conditions. The chemical states on the surfaces of the PAR substrate were investigated by x-ray photoelectron spectroscopy (XPS). The surface morphology and cross section of the PAR substrate was observed by atomic force microscopy (AFM) and the transmittance was measured by UV-VIS
TF-ThP-30 Chemical Composition on the Top of a Surface Characterized with the Evolution of Surface States
DAH-AN Luh (National Central University, Taiwan, Republic of China)
Epitaxial growth continuously plays an indispensable role in both fundamental and applied research in nanotechnology. The detailed characterization of epitaxial growth provides essential knowledge to control the construction of nanostructures. A novel technique to characterize a surface will be demonstrated in this presentation. On monitoring the evolution of surface states with angle-resolved photoemission spectroscopy, not only the chemical properties associated with the surface states are explored, but also the chemical elements in the topmost layer of the nanostructures on which the surface states reside can be identified. The technique was applied to the epitaxial growth of Cu on Ag(111); our results indicate that the chemical element on top of the Cu islands on Ag(111) with the (9x9) reconstruction was not Cu but Ag.
TF-ThP-31 In Situ Optical Monitoring and Rate Control of Thin-Film Deposition Using Index Dispersion Enhanced Monitoring (IDEM)
Wayne Rodgers, Lawrence Ring (Eddy Company)
A new Index Dispersion Enhanced Monitoring (IDEM) technique has been developed for controlling the rate of material deposition during thin-film vacuum deposition. It provides a highly accurate method for measuring thin-film optical properties and for executing coating layer cut-off. During deposition the dispersion monitoring system provides real time determination of each film layer’s optical thickness to better than 1nm resolution. Its accuracy and repeatability are described here along with secondary benefits including process drift notification, process interruption recovery, deposition rate optimization, and elimination of crystal rate monitoring. IDEM In-Situ full spectrum optical dispersion monitoring and deposition rate control has been tested in both research and commercial use at several institutions. Dispersion Enhanced monitoring of 6 different repetitions of a multiple layer deposition per witness chip coating design and of a complex 37 layer coating are explained and presented.
TF-ThP-32 Effect of the Local Microstructure of Aluminized Steel on the Formation of a Titanium Conversion Layer
Isabelle Vandendael, Ine Schoukens (Vrije Universiteit Brussel, Belgium); Joost De Strycker (OCAS, Belgium); Herman Terryn, Iris De Graeve (Vrije Universiteit Brussel, Belgium)
The use of steel is widespread in several industries including transport, building, general engineering & construction. Often galvanized steel is used for improved corrosion protection. The zinc layer on top of the steel acts as a sacrificial anode in case a defect in the steel exposes the steel. However, due to volatile zinc prices, high levels of leached zinc in the natural waters and scarcity issues arising with the high consumption of zinc resources, the steel companies are developing alternative products for various applications. One of these developments is aluminized steel, which can be obtained by a hot dipping process. Various types of aluminized steel with variable properties are commercially available at present. For many applications the aluminized steel may still need a final treatment, e.g. when a higher corrosion protection and/or a colored finish are required. To achieve this, the metal surface is typically first pretreated with a conversion layer that enhances the adherence of the final organic coating. Conversion processes of aluminium, galvanized steel and bare steel have been studied in detail. This is not the case for aluminized steel where it is expected that its complex metal surface composition and structure will influence strongly the ongoing electrochemical reaction processes. The presented work aims at determining the influence of the surface microstructure of hot dip aluminium-silicon coatings on steel on the formation of a titanium-based conversion layer. Varying the amount of silicon in the molten aluminium bath induces the changes in microstructure. Different regions in the film formation process can be distinguished in the open circuit potential evolution as a function of immersion time in the conversion solution. In each of these regions the homogeneity and the thickness of the conversion layer are evaluated from secondary electron images, Auger surface mappings and Auger depth profiles. A clear influence of the amount of silicon in the aluminium coating on both the time needed to attain complete surface coverage during the conversion treatment and the thickness evolution as a function of time was observed. A mechanism is proposed to explain these observations.
TF-ThP-34 Combinatorial ALD to Accelerate Integration of New Materials for Nanomanufacturing
Frank Greer, Wenxian Zhu, Edwin Adhiprakasha, Ratsamee Limdulpaiboon, Joy Watanabe, Chi-I Lang (Intermolecular, Inc.)

New materials and new device architectures have created an increasing need for Atomic Layer Deposition (ALD) of nano-scale conformal films to be incorporated into the manufacturing process flow. The conformality of ALD films is required to form some of the functional layers in today’s leading-edge planar IC devices, and in three-dimensional (3D) multi-gate CMOS devices such as “finFETs.” However, especially at the beginning of an R&D evaluation, ALD research involves expensive and exotic precursors that may only be available in small quantities. Therefore, there is a need to be as efficient as possible when exploring the potential use of a new ALD precursor for commercial manufacturing.

This drive towards efficiency often leads research in the direction of small volume, “coupon”-based reactors to attempt initial learning. Unfortunately, often results don’t translate from small reactors to full 300mm diameter silicon wafers, and this problem is only expected to become worse as the industry transitions to 450mm diameter wafers. In addition, performing experimentation at 300mm allows for integration of ALD films with other state-of-the-art processes to generate the stacks of materials necessary to extract the key electrical data necessary to evaluate device performance. Therefore, there is a pressing need for a more efficient approach that allows ALD R&D to occur on full 300mm diameter wafers.

One such approach is to use a high productivity combinatorial (HPC™) platform—such as that developed by Intermolecular, Inc.—to dramatically accelerate R&D of integrated ALD films by 10-100x relative to traditional methods. Combinatorial ALD can be integrated with combinatorial processes for wet-etching/cleaning and physical vapor deposition/chemical vapor deposition (PVD/CVD) to engineer interfaces and multilayer stacks for optimal device performance.

In one Collaborative Development Program with an Intermolecular customer, the initial design-of-experiments to integrate an ALD film with novel substrates, overlayers, and annealing resulted in over 3500 required tests to completely explore the potential solutions-space. It was estimated that a conventional R&D approach would have taken 2 years while using the HPC platform allowed the team to identify a combination of materials with the desired properties in just 7 months with a 75% reduction in raw materials expenses for ALD precursors and wafers.

This presentation will detail the concepts and physics behind combinatorial ALD and provide case studies on how Intermolecular has used integrated combinatorial methods to solve problems of interest to leading device manufacturers and materials suppliers.
TF-ThP-35 The Effect of BN Coating on the Hydrogen Permeation through Stainless Steel
Motonori Tamura (The University of Electro-Communications, Japan)

Hydrogen cracking of steels is a major concern in steel processing and service, and occurs in several applications, such as cracking of rolled steel products, cold cracking of welds, and as a result of corrosion in H2S environments.

Low-permeation thin films can be used as hydrogen permeation barriers. When coated on stainless steel they can reduce the rate of hydrogen transport. And they might be useful for sterling engines, tritium containment, or components of hydrogen fuel cells.

The hydrogen permeation behavior of BN-coated SUS316L stainless steel was investigated. The c-BN (cubic boron nitride) coating, deposited by magnetically enhanced plasma ion plating, was effective to reduce the rate of hydrogen permeation through stainless steel. The c-BN coating is also known as high-performance coating for cutting tools at high temperature.

This can be used for high-temperature and wear-resistant applications as hydrogen permeation barriers.

TF-ThP-36 On the Futile Search for the Perfect Lens
Mathias Schubert (University of Nebraska Lincoln); David Aspnes (North Carolina State University)

Much attention has recently been given to the conclusion of Pendry [1] that a thin film of material with a negative refractive index can achieve imaging of unlimited resolution. Pendry’s analysis was stimulated by Veselago’s work [2], which showed that negative-index material could be used to focus propagating waves, where resolution is necessarily wavelength-limited. In principle, both are a consequence of the standard boundary conditions of an interface between a medium with positive magnetic and dielectric material constants μ and ε, respectively, and a medium with negative values of these parameters. Here, we examine the situation considering both Maxwell’s Equations and the theory of complex variables, taking into account ranges of phase of μ and ε allowed by causality. We find that “negative index” can be defined simply as arg(με) > π (Pi), replacing equivalent but awkward definitions in the literature. We show that in such materials energy flow and attenuation of propagating waves are rigorously in the forward direction for all values of μ and ε consistent with causality, even if the phase velocity is reversed. Veselago’s conclusion is consistent with the Green-function solution of the Helmholtz Equation for a point source, but only for a coherent source under steady-state conditions. For a flash source it fails the Abbé criterion, since rays following different paths arrive at different times. Pendry’s solution is not appropriate for point sources, but requires excitation by large-area evanescent waves. These can be generated for example in the Otto or Kretschmann configurations, which have been used extensively to investigate plasmons at metal interfaces. They can also be high spatial Fourier coefficients of local fields resulting from screening charge at boundaries in nanoscopically inhomogeneous overlayers driven by longer-wavelength illumination, as described by effective-medium theory. For sufficiently thin films Pendry’s “imaging” process reduces to the appearance of a resonant plasmon on the opposite side of the film, as described by Raether [3], and hence is not imaging in the usual sense of the word. The attenuation of the resonant plasmon on the opposite side appears as amplification from the source side. All data so far claiming subwavelength resolution are consistent with this interpretation. In short, the perfect lens does not, and cannot, exist.

[1] V. G. Veselago, Soviet Physics Uspekhi 10, 509 (1968).

[2] J. B. Pendry, Physical Review Letters 85, 3966 (2000).

[3] H. Raether, Surface Plasmons on Smooth and Rough Surfaces and on Gratings, Springer Tracts in Modern Physics 111, pp. 1-133 (1988).
TF-ThP-38 Development and Application of Novel Precursors for Atomic Layer Deposition
Taek Mo Chung (Korea Research Institute of Chemical Technology, Republic of Korea); Bo Keun Park, Dong Ju Deon, Sun Sok Lee, Ki-Seok An, Chang Gyoun Kim (Korea Research Institute of Chemical Technology, Republic of Korea)
Advanced electronic industries have highly required novel materials due to the continuous shrinking dimensions of the related devices. The preparation and use of metal compounds as precursors for electronic areas has been extensively focused on application to electronic devices. The systematic development of metal complexes with excellent properties such as thermal stability, volatility, and air sensitivity are highly important in the vacuum deposition field of chemical vapor deposition. Among the properties of metal precursors, volatility is most important in vacuum technology because the transportation of precursor from canister to substrate in chamber is necessary to deposit the metal containing materials. Generally, metal complexes of inorganic ligands such as halides, nitrate, and sulfate are not volatile enough to be used in the vacuum technology although there are some except compounds – titanium tetrachloride, tin tetrachloride, tungsten hexafluoride. Organic ligands attached on the metals afford definite effects to the volatility property of the metal precursors. To improve of the volatile character of metal precursors, various ligands have been applied with preparation of the metal complexes. In this talk, we will discuss the development of a wide variety of metal compounds through molecule level design to be applicable in vacuum deposition technology such as metal organic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). Recent results on ALD of metal sulfide, metal oxide, and metal using metal precursors such as nickel, tin, ruthenium, and tungsten synthesized in our group will be disclosed.
TF-ThP-39 Disadvantages of using Grazing Incidence X-ray Diffraction Mode for Thin Films
Giovanni Ramirez (Argonne National Laboratory); Sandra Rodil, Jose González-Reyes (Universidad Nacional Autónoma de México)

The x-ray diffraction techniques is commonly used to study the microstructure in crystalline materials; in the case of thin films and coatings (especially with thickness lower than 2 micrometers) it is difficult to avoid the influence of the substrate when the Bragg-Brentano mode is used since the signal from the diffracted peaks of the substrate can be overlapped with the diffraction peaks of the films, and the effect is more severe in the case of polycrystalline substrates. The grazing incidence x-ray diffraction technique (GIXRD) can solve that problem, bringing information of the structure of the film without signal from the substrate. However, it is important to understand that grain size and preferred orientations cannot be estimated from the diffraction patterns obtained in the GIXRD mode. In order to show the validity of this statement, metal nitride coatings were analyzed using both GIXRD and the Brag-Brentano modes, as well as the more recent In-Plane mode (detection of the diffraction from the perpendicular planes to the surface) and pole figures. The results indicated that GIXRD is not suitable to study the preferential orientation of coatings and thin films due to possible gradients in the structure of the coatings which lead to wrong results that do not correspond to the real structure of the material. Similarly, for the grain size that is a function of the peak width, it was found that the value obtained from the GIXRD is not close to the values obtained using the Bragg-Brentano mode, since the resolution of the peaks is poor and the instrumental broadening is too wide in the GIXRD geometry, to determine small grain sizes.

Using the analysis of the results, it was concluded that GIXRD is a good technique that can provide information about the crystalline phases in thin film and coating without influence of the substrate, but need to be complementary with the other XRD techniques in order to study the texture and/or preferential orientation.

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2013 Schedule