AVS2013 Session PS-ThM: Plasma Modeling

Thursday, October 31, 2013 8:00 AM in Room 104 C

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule

Start Invited? Item
8:00 AM PS-ThM-1 Molecular Dynamics Analysis of Si Etching in HBr-based Plasmas: Ion Incident Energy and Angle Dependence
Nobuya Nakazaki, Yoshinori Takao, Koji Eriguchi, Kouichi Ono (Kyoto University, Japan)

Profile anomalies and surface roughness are now critical issues to be resolved in the plasma etching of nanometer-scale microelectronics devices, which in turn requires a better understanding of the effects of the ion incident energy and angle on surface reaction kinetics. For example, the line edge and line width roughness on feature sidewalls and the roughness on bottom surfaces of the feature are assumed to be caused by the angular distribution of incident ions onto feature surfaces. In addition, incident neutral radicals also affect the surface reaction kinetics and thus etching characteristics achieved. This paper presents a classical molecular dynamics (MD) simulation of Si etching in HBr-based plasmas with different ion incident energies and angles, by using an improved Stillinger-Weber interatomic potential model for Si/H/Br system interactions. Emphasis is placed on the surface structure and the yield and stoichiometry of products depending on the ion incident energy, angle, and neutral radical-to-ion flux ratio.

In the MD simulation, a target substrate Si(100) was placed in the simulation cell, which was a square 32.58 Å on a side and initially contained 1440 Si atoms (6.78 × 1014 atom/cm2) in a depth of 26 Å. Energetic ions and low-energy neutral radicals were injected toward the surface from randomly selected horizontal locations above the target. We assumed that the plasma of interest consisted of HBr+ ions and H and Br neutrals. The ion incident energy was in the range Ei = 20–300 eV and the incident angle was in the range θi = 0–90˚. The neutral radical-to-ion flux ratio was Γn/Γi = 0 and 100. In addition, we sometimes added a layer of Si atoms at the bottom of the simulation cell, to maintain the number of target atoms during etching. The yield and stoichiometry of products, and the surface structures were analyzed by averaging more than 1000 impacts after the surface and etching characteristics had become statistically stable.

Numerical results indicated that the thickness of the surface reaction layer (SiHxBry layer) decreases with increasing θi, because of decreased penetration depth of incident ions at large θi, which is less significant for Γn/Γi = 100 than for Γn/Γi = 0 at each Ei incidence, owing to increased desorption of reaction products therefrom at increased Γn/Γi as a result of enhanced etching reactions. Moreover, the Si yield is larger for Γn/Γi = 100 than for Γn/Γi = 0, and correspondingly, the amount of volatile etch products containing H atoms is larger for Γn/Γi = 100 than for Γn/Γi = 0.
8:20 AM PS-ThM-2 MD Simulations of Pulsed Chlorine Plasmas Interaction with Ultrathin Silicon Films for Advanced Etch Processes
Paulin Brichon, Emilie Despiau-Pujo, Gilles Cunge, Maxime Darnon, Olivier Joubert (Cnrs/ujf/ Cea - Ltm, France)
Due to high ion bombardment energies and significant fragmentation rates, conventional continuous-wave plasma processes are not able to selectively etch ultrathin films without damaging the active layers of advanced nanoelectronic devices (e.g. FDSOIs, FinFETs). In order to achieve a uniform/smooth etching of sub-nm thick materials, one possible alternative is to use pulsed-plasma discharges which exhibit lower average ion energies, thus minimizing surface damage when necessary. Pulsing the plasma consists in switching on and off the RF power, which introduces two additional parameters, the pulsation frequency and the duty cycle, i.e. the ratio between the pulse on-time and the total pulse duration. This latter has been shown to control the dissociation rate of the plasma i.e. the proportion of atomic species (Cl, Cl+) relative to that of molecular species (Cl2, Cl2+). However, the interactions between reactive pulsed plasmas and surfaces are so complex that the efficient development of new processes requires numerical simulations. Therefore, we propose to develop Molecular Dynamics (MD) simulations to study the silicon-chlorine system under pulsed plasma conditions. These simulations can help to understand the precise role of the ion energy in plasma-surface interactions, as well as the relationship between the flux/energy of reactive species (ions, radicals) bombarding the surface and its structural/chemical modifications. To understand the impact of the dissociation rate in pulsed plasma processes, we first compare the effects of atomic (Cl, Cl+) versus molecular species (Cl2, Cl2+) bombardment on the silicon substrate. All simulations show an initial rapid chlorination of the surface followed by the formation of a stable SiClx mixed layer and a constant etch yield at steady state. Regarding the role of the ion energy, it appears that for most etch features (chlorine uptake, SiClx layer thickness, nature of etch products), bombarding the surface with X eV Cl+ is equivalent to bombarding it with 2X eV Cl2+. This mathematical relation does not hold for the etch rate, which is larger for atomic bombardment (Cl+) at low energy (5-10eV) but more important for molecular bombardment (Cl2+) at high energy (50-100eV). Comparisons with experiments and mechanisms responsible for these behaviors will be discussed during the presentation. The influence of both the ion-to-radical flux ratio and the duty cycle on the dynamics/characteristics of the etch (yield, products) and on the surface evolution (structure, chemical composition) will also be presented.
8:40 AM PS-ThM-3 Control of SiO2 Etch Properties by Pulsed Capacitively Coupled Plasmas Sustained in Ar/CF4/O2
Sang-Heon Song, Mark Kushner (University of Michigan)

In the fabrication of microelectronics devices, the performance and quality of the devices are ultimately determined by the energy distribution of charged particles and radicals in the plasma. High aspect ratio dielectric etching in microelectronics fabrication using dual frequency capacitively coupled plasmas (DF-CCPs) continues to be challenged to optimize the fluxes and energy distributions of radicals and ions to the wafer. Pulsed power is one technique being investigated to achieve these goals. In one configuration of DF-CCP, the high frequency (HF) power is applied to the upper electrode and low frequency (LF) power is applied to the lower electrode serving as the substrate which is serially connected through a blocking capacitor generating self dc bias. In this presentation, ion energy distributions, fluxes to the wafer, and SiO2 etch properties in a pulsed DF-CCP sustained in Ar/CF4/O2 are discussed with results from 2-dimensional plasma hydrodynamics and feature profile models. The ion energy distribution (IED) can be uniquely manipulated by either pulsing the LF or HF power for a given size of the blocking capacitor (BC). During the pulse, the dc-bias can have a time variation – small BC produces more time variation than large BC. This time variation in the dc bias provides an additional control mechanism for the IED. In this paper we report on a computational study of IEDs and SiO2 etch profiles in DF-CCPs. To investigate this coupling we applied a pulsed format for HF and LF power with different duty cycles and blocking capacitances. We found that high energy ions are dominant when pulsing HF power and low energy ions are dominant when pulsing LF power. Smaller BC generally broadens the IED. We also found that the ratio of F/CFx (x = 1 – 3) fluxes increases by pulsing either the HF or LF however the effect is more sensitive to pulsing HF power. Control of etch profiles will be demonstrated by combinations of pulsing HF and LF power, and BC. For example, bowing and undercut may occur when pulsing HF while these effects are suppressed by pulsing LF. The propensity for twisting is less when pulsing LF.

* Work supported by the Department of Energy Office of Fusion Energy Sciences, the Semiconductor Research Corp. and National Science Foundation.

9:00 AM PS-ThM-4 Accuracy of the Step Sheath Approximation
Mark Sobolewski (NIST)
In modeling plasma sheaths, it is useful to approximate the electron density profile by a sharp, step-like drop between a neutral (or quasineutral) region and an electron-free region. This approximation allows rapid and efficient numerical calculations of sheath properties, and, when combined with other assumptions, allows predictions for sheath properties to be calculated analytically. Nevertheless, the approximation must result in some loss of accuracy. Here, the accuracy of the step approximation was investigated by comparisons with exact solutions for Poisson's equation in the sheath and with experimental measurements of current and voltage waveforms and ion energy distributions. The measurements were performed in pure argon gas and argon mixtures in a radio-frequency (rf) biased, inductively coupled plasma reactor. Experimental conditions were chosen to cover the intermediate-frequency regime, where the rf period is comparable to ion transit times and the ion current oscillates strongly during the rf cycle. In general, the errors introduced by the step approximation were small but not negligible. The displacement current and time-dependent ion current were both affected by the step approximation, resulting in errors that are more apparent in the phase of the sheath impedance than in its magnitude. The effects on ion energy distributions are most noticeable in the amplitude of the low-energy peak, which is sensitive to the choice of boundary conditions on the plasma side of the step. Using the exact Poisson solution in place of the step approximation results in a modest improvement in the agreement with experiment.
9:20 AM PS-ThM-5 Multi-dimensional Modeling of Industrial Plasma Processing Systems
Shahid Rauf, Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Ming-Feng Wu, Ken Collins (Applied Materials Inc.)
Plasma processing is widely used in the semiconductor industry for thin film etching and deposition, modification of near-surface material, and cleaning. Several factors have made plasma modeling critical for plasma processing system design in recent years including the necessity to generate uniform plasmas over large substrates while fabricating sub-20 nm devices, increased plasma system cost and complexity, and reduced development time-scales. Using examples from recent design and analysis work, this paper describes the current status of multi-dimensional plasma modeling in the semiconductor industry. Areas warranting further research are also discussed. Most industrial design work is done using fluid plasma models due to their maturity, robustness, and computational speed relative to other techniques. Hybrid codes with Monte Carlo simulation of kinetic phenomena (e.g., secondary and beam electrons) are also utilized. Several examples of the use of these fluid plasma models to industrial hardware and process design are discussed, including analysis of the effect of azimuthally asymmetric components on plasma non-uniformity in capacitively coupled plasmas (CCP), the effect of magnetic field on plasma non-uniformity in magnetized CCPs, and the dynamics of synchronously pulsed inductively coupled plasmas. With growing use of very high frequency radio-frequency sources and increasing plasma dimensions, electromagnetic effects have become pronounced in plasmas. A related issue is modeling of the strong influence of the distributed external electromagnetic circuit (e.g., transmission line, antenna feed) on the plasma and its spatial structure. Computational techniques that are used to treat electromagnetic effects in multi-dimensional plasma models along with examples are discussed. Many plasma processes use pressures < 1 Pa. At these low pressures, fluid assumptions break down and kinetic effects become important. An important unsolved problem is how to model these low pressure plasmas accurately in fluid plasma models. Fully kinetic models are more accurate, but their computational cost and lack of robustness remain an issue. Initial efforts at improving fluid plasma models through comparison with particle-in-cell modeling results and experiments are described.
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM PS-ThM-9 Self-Consistent Simulations of the Radial Line Slot Antenna Plasma Source
Peter Ventzek (Tokyo Electron America); Rochan Upadhyay (Esgee Technologies Inc.); Michitaka Aita, Jun Yoshikawa, Toshihiko Iwao, Kiyotaka Ishibashi (Tokyo Electron Ltd.); Laxminarayan Raja (University of Texas at Austin)
The radial line slot antenna plasma source couples microwave power through a slot antenna structure and window to a plasma characterized by a generation zone adjacent to the window and a diffusion zone that contacts a substrate. The diffusion zone is characterized by a very low electron temperature. This property renders the source useful for soft etch applications and thin film processing for which low ion energy is desirable. Frequently simulations of the source employ a quasi-neutral plasma model. These are unable to represent the impact of an rf bias applied to a substrate. Furthermore the presence of a time-varying biased potential impacts the transport of charged particles from the zone in which they are created through the diffusion zone to the wafer. At some pressures and powers secondary plasmas may be created. In this presentation we report self-consistent simulations of the source employing a drift-diffusion approximation or variants of this approximation. A test particle Monte Carlo simulation will be used to illustrate the evolution of the electron energy distribution function at different locations in the source. We relate the test particle experiments to electron energy distribution functions derived from solutions of the Boltzmann Equation.
11:20 AM PS-ThM-11 Feature Profile Simulator with Atomic Mono-Layer Resolution Capability
Paul Moroz (Tokyo Electron US Holdings)
Theoretical and experimental understanding of plasma interactions with solid materials has led to development of 2D and 3D feature profile simulators. Different approaches have been used for over 40 past years for that. Our simulator, FPS3D [1-2], is a general code which uses a cellular model for representing solid materials and uses Monte Carlo pseudo-particles for representing all incoming fluxes of reactive species. Those particles are launched such that statistically they represent the angle-energy distribution of fluxes coming to the wafer from the plasma. Each particle typically contains many molecules, but preferentially significantly less than the number of molecules in a full cell. FPS3D is very different in many ways from other feature profile simulators, most importantly in the way how it represents gaseous and solid materials and how it represents interactions of reactive gaseous species with solids. The algorithms applied enable simulation of very large span of models ranging from large features of hundreds of microns to very small ones of a few nanometers where a cell size is approaching to the size of a single molecule. Regimes with application of beams, plasmas, or just reactive gases could be conveniently simulated. Interaction with solids is described very differently for low-energy and high-energy particles. While the low-energy gaseous species interact only with the surface layers of the cells, the energetic particles such as ions or fast neutrals could penetrate into the body of the cells, and could even go through the cells. The finite penetration depth of a fast particle into a solid material is a key factor dictating the regime of interaction. When an energetic particle moves through a cell, it loses energy there on collisions and bond breaking between atoms. The energetic particle finally stops and implants in a solid material. The deposited energy might be enough for some molecules to diffuse to other cells or go through upper layers. This way, etching through polymer layers could be simulated. Correspondingly, FPS3D can simulate etching, deposition, and implantation processes going on at the same time. Also among new developments to be presented is the capability of considering multi-step (or multi-recipe) processes, when each step could have different fluxes to the surface and different chemistry. Another new development in FPS3D is a possibility of simulating pulsed-plasma effects. Examples are mainly based on a case of HARC etching of SiO2 by the fluorocarbon-argon-oxygen plasma.

[1] P. Moroz, ECS Transactions, 35 (20) 25 (2011).

[2] P. Moroz, IEEE Transactions of Plasma Science, 39 (11) 2804 (2011).

11:40 AM PS-ThM-12 Feature Profile Evolution in Plasma Processing using On-Wafer Monitoring System
Tomohiro Kubota (Tohoku University, Japan); Michio Sato (Harada Corporation, Japan); Takuya Iwasaki (Mizuro Information & Research Institute, Inc., Japan); Kohei Ono (Mizuho Information & Research Institute, Inc., Japan); Seiji Samukawa (Tohoku University, Japan)

Plasma etching is widely used for the fabrication of semiconductor devices and MEMS. However, irradiation damage and etching profile anomalies are severe problems for the plasma processes. We are developing a system to predict the etching profile and plasma irradiation damage by using a combination of the process monitoring on the sample stage of the plasma chamber (on-wafer monitoring) and a computer simulation, and are promoting the commercialization of this system [1]. We have already developed a sensor to measure the differential charge-up between the top and bottom of the high-aspect-ratio hole structure (on-wafer charge-up sensor) and have presented a concept of the charge-up simulation [2]. Here, we developed a calculation based on the on-wafer charge-up sensor measurement that enables for the fusion of the measurement and simulation.

The calculation was performed in two steps. First, a calculation is conducted to determine the situation in the sensor. Here, we developed a calculation to obtain the incidence condition of the ions and electrons from the measured value of the charge-up voltage. A high-speed algorithm (ICCG method) is used to obtain the electric field distribution because the calculation needs iteration to converge the charge-up voltage into the measured value. Second, subsequent calculations are conducted to obtain the charge-up voltage and ion trajectory of an arbitrary etching profile using the ion/electron incident condition obtained from the first calculation.

An on-wafer charge-up sensor with an aspect ratio of 10 was used for the plasma measurement. Then, the calculation was performed. As a result, it was predicted that etching is possible up to aspect ratio of 30, but the etching profile would be anomalous if the aspect ratio is more than 20.

This indicates that the etching profile of an arbitrary structure can be predicted based on a measurement and computer simulation.

[1] http://www.mizuho-ir.co.jp/solution/research/semiconductor/fabmeister/onwafer/index.html (in Japanese)

[2] H. Ohtake et al., Jpn. J. Appl. Phys. 49, 04DB14 (2010), B. Jinnai et al., J. Appl. Phys. 107, 043302 (2010)

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule