AVS2013 Session EM-ThP: Electronic Materials and Processing Poster Session

Thursday, October 31, 2013 6:00 PM in Room Hall B

Thursday Evening

Time Period ThP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2013 Schedule

EM-ThP-1 Hot Fire Chemical Vapor Deposited Silicon-rich Oxides: Its Optical and Compositional Properties
Alfredo Benítez, José Luna, Godofredo García, Diana Vázquez, Jesus Carrillo, Karim Monfil (Benemérita Universidad Autónoma de Puebla, Mexico); Alfredo Morales (Centro de Investigación en Materiales Avanzados, Mexico)

Silicon Rich oxides have been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Hot-fire Chemical Vapor Deposition (HFCVD). Obtain silicon compatible material with an optimal photoluminescence response is important for to have optoelectronic functions. The SiOx has shown to have a very good photoluminescence response and is also compatible with silicon technology. In this works, SiOx Films were obtained by hot fire chemical vapor deposition (HF-CVD) with different deposit conditions. The hydrogen flows were changing of: 50, 75, 100, and 125 and 150 sccm, and the range of deposit temperature were from 800 to 1000°C. The compositional and optical properties of the SiOx films were obtained using fourier transformed infrared spectroscopy (FTIR), photoluminescence (PL), Transmittance and perfilometer. The IR absorption spectrum shows the presence of three typical Si–O–Si vibrations modes in SiO2 also the change of the morphology. At 75 and 100sccm showed the most intense PL. Transmittance spectra showed a wavelength shift of the absorption border from 350 to 450nm. We have analyzed the dependence of PL on the composition of non-stoichiometric SiOx films.

EM-ThP-2 Effect of Co-implantation of Nitrogen and Fluorine on the Total Dose Radiation Response of the Buried Oxide Layer of SOI Materials
Zhong-Shan Zheng (Institute of Microelectronics of Chinese Academy of Sciences, China)
In order to suppress the total dose radiation response of the buried oxide layer of silicon-on-insulator (SOI) materials, the method of co-implantation of nitrogen and fluorine into the buried oxide is used by fluorine implantation into the nitrogen-implanted buried oxide. The total dose radiation response of the modified buried oxide layer is characterized by the capacitance–voltage (C–V ) technique after irradiation using a Co-60 source. The results show the radiation hardness differences between the co-implanted buried oxide layers and the nitrogen-implanted ones, and the improved radiation tolerance for the modified buried oxide layer under some conditions, compared to the unmodified one. It is also found that there is a negligible annealing effect for the co-implanted buried oxide at a temperature of 150oC after irradiation. All the results have been discussed and analyzed.
EM-ThP-3 The Effects of Water Uptake on the Mechanical Properties of Low-k Organosilicate Glass
Xiangyu Guo (University of Wisconsin-Madison); Joseph Jakes (USDA Forest Service Forest Products Laboratory); Michael Nichols (University of Wisconsin-Madison); Samer Banna (Applied Materials Inc.); Yoshio Nishi (Stanford University); J.Leon Shohet (University of Wisconsin-Madison)

Water uptake in porous low-k dielectrics has become a significant challenge for both back-end-of-the-line integration and circuit reliability. The influence of absorbed water on the mechanical properties of PECVD organosilicate glasses (SiCOH) was investigated with nanoindentation. The roles of physisorbed (α-bonded)and chemisorbed (β-bonded) water were examined separately through annealing at different temperatures. Nanoindentation measurements were made on dehydrated organosilicate glass (SiCOH) during exposure to different humidity conditions. The indentation results at shallow depth show that for as-deposited SiCOH, the elastic modulus and hardness were all intimately linked to the concentration of the absorbed water in the dielectric bulk and qualitatively held the same evolution mechanism with in-diffused water concentration. After annealing, the water-concentration-related film mechanical property changes were shown to be reversible. UV curing of SiCOH was shown to lower water-induced mechanical property variation effectively by depopulating the hydrophilic chemical groups in SiCOH. A high-load indentation test shows that in-diffusion of water at the film/substrate interface can degrade the hardness of a SiCOH/Si film stack significantly, while showing less effect on the elastic modulus.

This work was supported by the Semiconductor Research Corporation under Contract 2012-KJ-2359 and by the National Science Foundation under Grant CBET-1066231.

EM-ThP-4 Study of Phosphorus-doped Polysilicon Films using Si3H8 by Ultra-high Vacuum Chemical Vapor Deposition
Byongju Kim, Hyunchul Jang, Dae-Seop Byeon, Sangmo Koo, Dae-Hong Ko (Yonsei University, Republic of Korea)
In situ phosphorus-doped polysilicon films grown on silicon oxide layers using trisilane (Si3H8) and phosphine (PH3) as precursors were investigated as a function of Si3H8/PH3 gas flow ratio and growth temperature. At high flow rate of Si3H8 the deposition process was controlled by the rate of desorption of hydrogen molecules on the surface, which has an activation energy of 1.13 eV, in the temperature range of 600 ~ 700 °C. In comparison, the deposition was limited by the diffusion of Si3H8 gas to the surface in the case of low Si3H8 flow rate for growth temperatures of > 650 °C. The presence of phosphorus decreased the crystallization temperature of polysilicon layers during growth. In addition, the ratio of phosphorus incorporated into the polysilicon at the same Si3H8/PH3 ratio decreased with increasing growth temperature. The results of sheet resistance measurements suggest that the resistivity of phosphorus-doped polysilicon films decreased with increasing deposition temperature at the same phosphorus concentration, indicating that the use of a high growth temperature results in an enhancement in the activation of phosphorus in the polysilicon films during growth.
EM-ThP-5 Electrical Properties of Atomic-Layer-Deposited Al-doped TiO2 Films on p-type GaAs
Youngseo An, Young-Chul Byun, Sungho Choi, Hyungsub Kim (Sungkyunkwan University, Republic of Korea)

For the development of high speed III-V-based metal-oxide-semiconductor field-effect transistors (MOSFETs) in replacement of current Si-based devices, high-k gate dielectric is the most crucial component and, especially, atomic layer deposition (ALD) technique has been acknowledged to be the ultimate solution in acquiring high electrical quality such as low leakage current and equivalent oxide thickness (EOT). In order to achieve a sub-1nm EOT value, many researchers have tried to introduce TiO2 film due to its much higher k value than Al2O3 and HfO2 [1, 2]. However, one major drawback in adopting TiO2 is relatively high leakage current due to its small band gap (~3.1 eV) [1]. As an effort to reduce the leakage current, recently, Kim et al. employed Al-doped TiO2 (ATO) films for memory capacitor application and demonstrated promising electrical performance [1]. More recently, Mahata et al. tried (TiO2)x(Al2O3)1-x alloy film on In0.53Ga0.47As as a gate insulator [2].

In this study, we deposited various ATO films on S-passivated p-type GaAs substrates and characterized their electrical properties, while varying the Al2O3 doping concentration and film thickness. The nanolaminating ALD process for the formation of ATO films utilized trimethylaluminum, titanium tetrakis-isopropoxide, and H2O precursors at a deposition temperature of 250 °C. After MOS capacitor fabrication, various electrical properties including capacitance-voltage, interface state density, and leakage current were evaluated. The dependency of these electrical properties on the ATO film composition will be discussed and correlated with various interface and film characterization results.

[1] S. K. Kim, G.-J. Choi, S. Y. Lee, M. Seo, S. W. Lee, J. H. Han, H.-S. Ahn, S. Han, and C. S. Hwang, Adv. Mater. 20, 1429 (2008).

[2] C. Mahata, S. Mallik, T. Das, C. K. Maiti, G. K. Dalapati, C. C. Tan, C. K. Chia, H. Gao, M. K. Kumar, S. Y. Chiam, H. R. Tan, H. L. Seng, D. Z. Chi, and E. Miranda, Appl. Phys. Lett. 100, 062905 (2012).

EM-ThP-6 Surface Deactivation of SiO2 using Octadecyltrichlorosilane Based Self-Assembled Monolayers
Adam Hinckley, Anthony Muscat (University of Arizona)
Self-assembled monolayers (SAMs) of octadecyltrichlorosilane (OTS) were investigated as a deactivation technique for SiO2 surfaces. Liquid phase chemisorption of OTS has been previously described as a surface modification technique for prevention of atomic layer deposition in selective areas. However, the development of an OTS monolayer with the appropriate density required to fully deactivate the SiO2 surface is extremely sensitive to multiple experimental parameters, including the ambient humidity. This study presents a liquid phase chemisorption method of forming deactivating SAMs in the absence of humidity control. SAMs were deposited both using OTS alone as well as co-adsorbed with trimethylchlorosilane (TMCS) monomers to repair defects in the OTS SAM which might have formed. Monolayers were deposited as a function of solution concentration, solvent, substrate temperature prior to deposition, and hydroxylating solution. Ellipsometric and goniometric results showed that the ideal OTS SAM thickness (26 Å) and water contact angle (110) were achieved. X-ray photoelectron spectroscopy was used to probe monolayers for defects in conjunction with TiO­2 atomic layer deposition. High resolution Ti 2p XPS spectra showed that no titanium was bonded to oxygen for up to 100 ALD deposition cycles. In addition, monolayers which initially showed evidence for titanium deposition were passivated via another exposure to the SAM process. This evidence suggests that a fully-deactivated SiO2 surface can be achieved via the use of a secondary TMCS deposition step without the necessary humidity control. Future work includes the use of SAMs in patterned structures and the deposition of an OTS SAM from the vapor phase.
EM-ThP-7 Investigation of Dual-Active-Layered Zinc–Tin–Oxide/Indium–Gallium–Zinc–Oxide Thin-Film Transistors with the Durability of the Chemical Damage
ChulHo Kim, HyunJae Kim (Yonsei University, Republic of Korea)
Amorphous–indium–gallium–zinc–oxide (a-IGZO) as channel materials of thin-film transistors (TFTs) has attracted attention as alternative of amorphous silicon (a-Si) for the backplanes of next-generation active-matrix organic light-emitting diode (AMOLED) displays and active-matrix liquid-crystal displays (AMLCDs) due to advantages such as high field-effect mobility, flexibility, good uniformity, transparency in visible light, and low temperature process. However, IGZO thin film has an activated chemical reaction in the bulk of wet etchants for patterning source/drain (S/D) electrodes. Therefore, the process using IGZO film as a channel layer should adopt the protection layer (mainly insulator), that is high cost process due to accessional lithography process and causes a large parasitic capacitance due to the misalignment margin among the gate, the protection layer, and the S/D. So as to improve the above disadvantages, we applied Zn–Sn–O (ZTO) to the protection layer. ZTO has higher chemical stability than IGZO owing to its SnO2 content, and provides very lower contact resistance compared to that of insulator due to the semiconductor property. The dual-active-layered IGZO/ZTO (DALZI) has the IGZO layer under ZTO layer, which is the protection layer that shied IGZO layer from damage by the aluminum etchant. The DALZI TFT was successfully shielded by ZTO layer during soaking in aluminum etchant, whereas the IGZO TFT was readily damaged or removed. As the above reason, the electrical performance of the DALZI TFT could be preserved after exposure to aluminum etchant compared with that of the IGZO TFT. Hence, the DALZI structure could grant IGZO-based oxide semiconductor the first option for next-generation active-matrix switch device due to low cost.
EM-ThP-8 First-principles Study of the Electronic Structure and Optical Properties of Ce doped ZnO
Yuxi Wu (China University of Mining and Technology, Republic of China)
A method using first principles based on density functional theory plane wave pseudopotential is applied to calculate the crystal structure, band structure, formation energy, electronic density of states, optical absorption coefficient and dielectric function of ZnO doped with Ce. The results show that with the Ce atom incorporation, the lattice constant of system increased, bond length and volume are both become larger. After doping Ce atom, the system formation energy reduced and the stability of structures are enhanced. When doped with Ce, the Fermi level enters into the conduction band, the band gap becomes narrow, the system shows metallicity. Moreover, the absorption edge red shift and dielectric function of the emergence of new wave.
EM-ThP-9 Comparison of Properties of FTO and ITO Films with Different Concentrations
José Luna, Maricela Meneses, Jesus Carrillo, Francisco Flores, Alfredo Morales, Javier Martínez, José Sosa, Estela Gómez, Karim Monfil, Alfredo Benítez (Benemérita Universidad Autónoma de Puebla, Mexico)

Transparent conductive oxides (TCO) have become increasingly important in a large variety of applications due to demands as transparent and conductive materials. Applications of these devices include thin-film solar cells, display devices, optoelectronic devices, polymer-inorganic composite solar cells, gas sensors, and frost-resistant surfaces [1-4]. ITO is an n-type semiconductor where indium oxide (In2O3) has been doped with tin oxide in order to improve many of the material’s properties, including its electrical conductivity [5]. Also, FTO is an ideal candidate for applications requiring TCO due to its ability to adhere strongly to glass, resistance to physical abrasion, chemical stability, high optical visible transparency, and electrical conductivity. FTO is frequently used as an alternative to ITO when chemical and electrical stability at elevated temperatures is required for device fabrication or application. FTO is more thermally stable because it does not depend on oxygen vacancies to provide charge carriers. As a result, when exposed to elevated temperatures, FTO does not experience the characteristic decrease in conductivity seen in the ITO system. Therefore, as device size continues to decrease, the potential use of nanoscaled structures of these TCOs grows, and a study for these TCO´s is necessary. In this work, Spray pyrolysis automatized technique was used to deposit FTO and ITO films on glass corning. This technique allows us to obtain a better control on thin FTO and ITO films, with different properties just by varying the concentration of the fluorine. The structural and optical properties of the FTO and ITO films were obtained using X-ray Diffraction and scanning electronic microscopy, photoluminescence (PL), Transmittance and perfilometer. The diffractograms shows the presence of preferential planes of the FTO and ITO. SEM images showed the formation of different agglomerates. All films showed different intensities PL and transmittance spectra showed a wavelength shift of the absorption border. With Hall Effect we obtain some electrical properties.

Acknowledgements: This work has been partially supported by CONACyT-154725 and VIEP-BUAP-2013.

EM-ThP-10 Optical Monitoring of Vapor Induced Phase Separation During Spin Coating of PVDF
Noel Dawson, Kevin Malloy (University of New Mexico)

Poly(vinylidene fluoride) has been studied extensively owing to both its ferroelectric properties and its usefulness as a porous membrane. Its large polarization response makes PVDF a promising material for electrical applications in digital memory, super capacitors, and electrocaloric coolers. These and other applications of PVDF require high quality thin films. While there are different ways to deposit thin polymer films, spin coating is particularly attractive due to its affordability and ubiquitous use in industry. Understanding the processes occurring under varying conditions during spin coating of PVDF is therefore important. Humid environments have been shown to affect the morphology and crystallinity of spin coated PVDF films. In this presentation we use in situ optical reflectance to present evidence that film formation during spin coating in a humid environment is controlled by liquid-liquid and solid-liquid phase separation similar to the demixing seen in the vapor induced phase separation and immersion techniques used to create PVDF membranes. This enables real-time identification of the film formation processes, suggesting that monitoring of optical reflectivity is a basis for process control during spin coating and hence tailoring of film morphology for specific applications.

EM-ThP-12 High-efficiency Semipolar GaN-based Light Emitting Diodes Fabricated by Wet Chemical Etching
Sungmin Jung (Chonbuk National University, Republic of Korea); Sung-Nam Lee (Korea Polytechnique University, Republic of Korea); Hyunsoo Kim (Chonbuk National University, Republic of Korea)

Semipolar GaN semiconductors have become significantly important owing to their potential applications to high-efficiency optoelectronic and electronic devices, especially for the light-emitting diodes (LEDs) with a quantum-confined Stark effect free by eliminating the polarization-induced internal electric fields in the active regions. Another feature of semipolar GaN planes is weaker chemical inertness against chemical solutions compared to the polar (0001) c-plane, indicating that wet etching can be practically used. Indeed, several previous studies have revealed that the wet etching of semipolar GaN planes can form peculiar surface textures such as striated trigonal prisms by exposing specific crystallographic planes. These features are quite interesting in terms of the fabrication of more energy-efficient and cost-competitive LEDs. For example, first, the mesa of LEDs having semipolar orientations can be made using a wet etching process instead of conventional dry etching. Second, the crystallographic surface textures formed after wet etching can act as excellent light scatters or exits for the guided mode caused by the large mismatch of the refractive indices of GaN and the environment (air), implying that the extraction efficiency can be significantly improved. Furthermore, wet etched surfaces were found to be much more efficient in making ohmic contacts, as will be discussed in our study for the first time. These findings suggest that wet etching can be practically used in the fabrication of LEDs. In this regard, we demonstrate the first semipolar GaN-based LEDs fabricated by a wet etching process, which led to better device performance than reference LEDs.

It was shown that the wet etching of semipolar GaN was a reaction-limited process with an Ea of 11.3 kcal mol-1, exhibiting etching rates as high as 530 Å/min under 4M-KOH solution at 90°C. In particular, the wet etched surfaces exhibited the typical trigonal prism cell structure with a (0001) c-plane and [10-10] m-planes. Notably, the ohmic contact could be better formed on the wet etched surface, which could be attributed to the enhanced carrier transport through local shallow barriers associated with the exposed crystallographic structure. The LEDs fabricated by wet etching showed excellent output performance at 1.89 times higher than that of the reference LEDs. This could be due to the role of the textured surfaces. These findings suggest that wet chemical etching can be potentially important to semipolar or nonpolar-plane LEDs, which open new ways to achieve brighter and cost-competitive lighting engines for solid state lighting.

EM-ThP-13 Characterization of Non-Stoichiometric Zinc Tungstate Thin Films
Seth King, Ethan Dinauer, Joseph Krueger, Brandon Zink, Zachary Koop (University of Wisconsin - La Crosse)

Zinc tungstate (ZnWO4) has recently gained much attention for its possible uses in photocatalysis and photovoltaic applications [1,2]. While many studies have focused on the fabrication and characterization of nanoscale structures of this material, few have investigated the properties of zinc tungstate thin films. Fewer have explored the properties of non-stoichiometric zinc tungstate materials.

The current study presents preliminary results on the structural, optical, and electronic properties of non-stoichiometric zinc tungstate thin-films fabricated using reactive DC sputter deposition. Such Zn1-xWxO4 have been investigated in the parameter space from x = 0 to 0.5. The initial results of these studies suggest that the properties of zinc tungstate are strongly dependent on the quantity of W incorporated into the film. Therefore, the physical properties of zinc tungstate may be tailored for specific applications by employing such non-stoichiometric materials.

References:

[1] C. J. Spengler and S. O’Hara, Applied Optics, 3,1084-1085 (1964)

[2] J. Lin, J. Lin, and Y. Zhu, Inorg. Chem., 46, 8372−8378 (2007)

EM-ThP-14 Formation and Characterization of Triple-Target Co-sputtering Cu(In,Al)Se2 Thin Films with CuSe2, In and Al Targets
Young-Kil Jun, Woo-Sun Lee, Nam-Hoon Kim (Chosun University, Republic of Korea)
CuInSe2(CIS) and Cu(In,Ga)Se2 (CIGS) chalcopyrite-based solar cells are promising candidates for high-efficiency thin film solar cells. Recently, EMPA has reported the world highest record of 20.4% in efficiency with CIGS solar cells. However, Ga is one of the most expensive rare materials with the critical degradation in device efficiency. Cu(In1-xAlx)Se2 (CIAS) was strongly considered as an alternative material due to the good structural suitability to the structural properties of CIS thin films as well as the cheapness of Al. The CIAS thin films were formed by using a triple-target co-sputtering system in Ar plasma. Three targets of CuSe2, In and Al were used with a change of each power for controlling the composition ratio of In and Al. Rapid thermal annealing (RTA) was treated for the crystal growth. The crystal structures and chemical compositions of CIAS thin films were analyzed by using X-ray diffraction (XRD) and secondary ion mass spectroscopy (SIMS). Lattice constants, distortion parameter, inter-planar spacing and deviation parameters of CIAS thin films were investigated by the results of XRD and SIMS. Optical and electrical characteristics of CIAS thin films including optical transmittance and resistivity were varied by the change of the composition ratio of In and Al in CIAS thin films, which were examined by using an UV-Visible spectrophotometer and a Hall Effect measurement system. Acknowledgement: This research was financially supported by the Ministry of Education, Science Technology (MEST) and National Research Foundation of Korea (NRF) through the Human Resource Training Project for Regional Innovation.
EM-ThP-15 Effects of Proton Irradiation on dc Performance of AlGaN/GaN High Electron Mobility Transistors
Lu LIU, Ya-Hsi Hwang, Yuyin Xi, Stephen Pearton (University of Florida); Hong-Yeol Kim, Jihyun Kim (Korea University); Ivan Kravchenko (Oak Ridge National Laboratory); Fan Ren (University of Florida)

The dc characteristics as well as critical voltage of the drain-voltage electrical step-stress of AlGaN/GaN high electron mobility transistors (HEMTs) were measured prior to and post 5 MeV proton irradiation at doses from 109 to 2×1014 cm-2 to evaluate the feasibility of AlGaN/GaN HEMTs for space applications, which need to demonstrate radiation hardness of various irradiations. On-chip transmission line method (TLM) was used to extract contact and sheet resistances. The threshold of contact resistivity (RC) and transfer resistance (RT) degradation was at a proton dose of 5×109 cm-2, however, the threshold for sheet resistance (RS) degradation was much higher at 2×1013 cm-2 as compared to those for RT and RC. For the dc characteristics, minimal degradations of saturation drain current (IDSS), transconductance (gm), electron mobility, and sheet carrier concentration were observed for the samples irradiated with proton dose below 2×1013 cm-2, while the reduction of these parameters were 15%, 9%, 41% and 16.6%, respectively, for the device irradiated with 2×1014 cm-2 of protons. Drain breakdown voltage (VBR) and of critical voltage (Vcri) unexpectedly increased 37% and 45%, respectively for the devices irradiated with 2×1014 cm-2 of protons. Gate and drain pulse measurement were also conducted to study the trap characteristics. Both measurements showed apparent reduction of IDSS for the HEMTs irradiated at proton doses higher than 2×1012 cm-2, which meant more traps were introduced for the implanted HEMTs. The improvements of drain breakdown voltage (VBR) and critical voltage (Vcri) were attributed to the modification of the depletion region due to the introduction of a higher density of defects after irradiation at a higher dose.

EM-ThP-16 Point Defects Interaction with Impurities in the Si-SiO2 System and its Properties Modification by Laser Irradiation
Tony Laas (Tallinn University, Estonia)
Local dynamical equilibrium between point defects can be achieved in Si-SO2 system during thermal oxidation. If it is achieved at the interface at low oxidation temperature (short oxidation time) interface is supersaturated by self-interstitials Si atoms and undersaturated by vacancies, while at high oxidation temperature or long oxidation time, the interface is supersaturated by vacancies and undersaturated by self-interstitials [1]. This model allows us to identify the nature of point defects at the Si-SiO2 interface and explain our results obtained 10 years later [2]. [1] T.U. Tan, U. Gösele. J. Appl. Phys. A37 (1985) [2] D. Kropman, S. Dolgov, T. Kärner, J. Appl. Phys. A62 (1996) 496.
EM-ThP-17 Development of Chalcogenide Materials for Inline Phase Change Switches (IPCS) for RF Applications
Matthew R. King, Brian P. Wagner, Evan B. Jones, Nabil El-Hinnawy, Sean R. McLaughlin, Pavel Borodulin, John S. Mason, Jr., Robert S. Howell, Robert M. Young, Michael J. Lee (Northrop Grumman ES)

Recently the digital memory industry has exploited chalcogenide materials for their distinct phase-dependent electrical properties, where the large resistivity difference between crystalline and amorphous states is used to create efficient memory platforms.

Digital applications primarily require large DC on/off ratios; RF switches on the other hand require very low on-resistance (Ron) and off-capacitance (Coff). These requirements are often mutually exclusive, where gains in one come at the expense of the other. Chalcogenide phase change materials represent a unique solution to this dilemma. With PCM devices, not only is it possible to obtain a very low Ron concomitant with very low Coff, but one can also achieve zero prime power consumption during steady state operation.

This presentation will detail the development of phase change materials that enable world class RF switch performance. Specifically a chalcogenide inline phase change switch (IPCS) utilizing GeTe has been fabricated for the first time utilizing a 3rd terminal for controlling an independent resistive heater to accomplish the thermal actuation of the phase change material. An on-state resistance of 6 Ω (0.06 Ω-mm), with an off-state capacitance and resistance of 33fF and 1 MΩ were measured, respectively, resulting in an RF switch figure-of-merit cut-off frequency (Fco) of 0.7 THz and a switching on/off ratio of 105. The power required to change the GeTe from amorphous to crystalline was as low as 0.5W, with zero power consumption during steady state operation, making it a non-volatile RF switch. To the authors’ knowledge, this is the first reported implementation of an RF phase change switch in a traditional, 3-terminal, in-line configuration.

In order to achieve such a high level of performance, significant material development efforts were undertaken. GeTe films were deposited using the DC magnetron sputtering technique and a power-pressure matrix was utilized to minimize sheet resistivity (Rs) and maximize the on-off ratio. Morphological features were evaluated using XRD, SEM and FIB-based cross sections. Electrical properties of as-deposited GeTe films were evaluated using 4-point probe measurements and a heated stage. The combined effect of sputtering power and pressure on film morphology and material properties will be presented.

In addition to wide ranging morphological and Rs variations with deposition parameters, it was found that the sputtering process significantly affects the chemical susceptibility of the films. An optimized fabrication scheme will be presented, in which high quality GeTe films were capable of being processed without a capping layer.

EM-ThP-18 On the Possible Current-Conduction Mechanisms at Low temperatures in Au/n-GaAs Schottky Barrier Diodes (SBDs)
Sahar Alialy, Semsettin Altindal (Gazi University of Ankara, Turkey); Halit Altuntas (Cankiri Karatekin University of Turkey)

To determine the possible current-conduction mechanisms (CCMs) at low temperatures, Au/n-GaAs SBDs were fabricated and their current-voltage (I-V) characteristics were carried under room temperatures (80-300 K). The ideality factor (n) and apparent barrier height (Φbo) values were found as 5.200, 0.198 eV at 80 K and 1.256, 0.613 eV at 300 K, respectively. These results indicated that possible CCM is Thermionic Field Emission (TFE) rather than Thermionic Emission (TE) or other mechanisms. While the value of Φbo increases with increasing temperature, nΦbo decreases. The negative coefficient of Φbo and BH (0K) values were found as -13x10-4 eV/K and 1.12 eV, respectively. This -13x10-4 eV/K value is close to negative temperature coefficient bandgap of GaAs (-5.405x10-4 eV/K). On the other hand, the high value of n especially at low temperatures cannot be also explained only by TFE theory. Such behavior of n and Φbo with temperature was explained on the basis of single Gaussian distribution (GD) of the BHs. As a result to avoid these nonideal behavior of forward bias I-V characteristics, the fabrication process should be carried out in very clear room at high vacuum, annealing of the samples, and use to a a thin interfacial with high dielectric constant.

Keywords: I-V-T Characteristics; Possible current-conduction mechanisms; Barrier inhomogeneity; Gaussian distribution of BH;

Time Period ThP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2013 Schedule